Uploaded by Евгения Шумкова

САПР KiCAD

advertisement
Времена, когда схемы и чертежи печатных плат рисовали на бумаге, давно прошли.
Сегодня практически все этапы проектирования электронных устройств
выполняются с помощью компьютеров: от первых набросков идеи до готовой
печатной платы с установленными компонентами. Это позволило значительно
сократить сроки разработки и существенно облегчить процесс
Программы, с помощью которых выполняется разработка, называются САПР –
Системы Автоматизированного Проектирования. Международное название таких
систем CAD - Computer-aided design. Дословный перевод – компьютерная помощь
в разработке. И здесь уже четко говорится, что в основе автоматизации лежит
использование компьютера.
Ни термин САПР, ни термин CAD не выделяют, проектирование чего именно
помогает осуществить система. Это могут быть как электронные устройства, так и
механические узлы, или строительные блоки.
Системы автоматизации проектирования именно электронных устройств носят
международное обозначение EDA – Electronic Design Automation.
Системы автоматизации
обеспечивают:






проектирования
электронных
устройств
обычно
Создание и редактирование принципиальных схем
Создание и редактирование библиотек компонентов
Создание и редактирование печатных плат
Проверку на наличие ошибок в принципиальных схемах и печатных платах
Подготовку данных для производства печатных плат
Формирование списков для заказа электронных компонентов используемых
в устройстве
Нетрудно заметить, что в слове KiCad присутствует, собственно, CAD.
KiCad – популярный пакет программного обеспечения с открытым исходным кодом
для автоматизации электронного проектирования (EDA). Он предлагает широкий
спектр функций, помогающих в создании схем, компоновке печатных плат и
управлении библиотекой компонентов. Пакет работает на Windows, Linux и macOS
и лицензирован под GNU GPL версии 3.
Цель проекта KiCad - предоставить наилучшее из возможных кроссплатформенных
приложений для проектирования электроники для профессиональных
разработчиков. Предпринимаются все усилия, чтобы скрыть сложность
расширенных функций проектирования, чтобы KiCad оставался доступным для
новых и неопытных пользователей.
KiCad был впервые выпущен в 1992 году его первоначальным автором ЖанПьером Шаррасом. С тех пор он постоянно совершенствовался и в настоящее
время управляется командой разработчиков KiCad.
Название KiCad происходит от первых букв названия компании друга Жан-Пьера
Шарраса "Ki" в сочетании с "Cad". Но теперь это не имеет никакого значения, кроме
названия программного пакета, упомянутого Жан-Пьером в электронном письме.
Проектом KiCad руководит технический комитет, состоящий из членов ведущей
команды разработчиков. Большинство решений принимается техническим
комитетом на основе консенсуса. Когда консенсус не может быть достигнут,
окончательное решение принимает руководитель проекта.
Ниже приведены несколько ключевых моментов, которые следует учитывать при
рассмотрении KiCad:






Удобный интерфейс: KiCad предоставляет интуитивно понятный и удобный
интерфейс, что делает его доступным как для начинающих, так и для
опытных пользователей. Его графический пользовательский интерфейс
(GUI) настраиваем, что позволяет вам персонализировать свой рабочий
процесс.
Захват схем: KiCad предлагает мощный редактор схем, который позволяет
создавать сложные электронные схемы и комментировать их. Он
поддерживает многолистовые схемы, иерархический дизайн и генерацию
сетевых списков.
Компоновка печатных плат: с помощью редактора компоновки печатных плат
KiCad вы можете преобразовать свои схемы в профессионально
выглядящие печатные платы (PCBS). Он предоставляет целый ряд
инструментов проектирования, таких как размещение компонентов,
маршрутизация, создание контуров платы и заливка меди.
3D-визуализация: KiCad включает в себя программу просмотра 3D, которая
позволяет вам реалистично визуализировать дизайн ваших печатных плат.
Эта функция помогает вам обнаруживать потенциальные столкновения или
проблемы с зазором перед изготовлением.
Управление библиотекой компонентов: KiCad предоставляет обширную
библиотеку компонентов, и вы также можете создавать свои собственные
библиотеки и управлять ими. Встроенный редактор компонентов позволяет
вам определять новые детали или изменять существующие, обеспечивая
точное представление ваших компонентов.
Поддержка сообщества: у KiCad большое и активное сообщество
пользователей, что означает, что вы можете найти множество онлайнресурсов, руководств и форумов для получения помощи и рекомендаций.
Этот аспект, ориентированный на сообщество, повышает общую ценность
использования KiCad.
Плюсы KiCAD:
1. Кросс-платформенность: KiCAD доступен на Windows, macOS и Linux, что делает
его доступным для широкого круга пользователей.
2. Бесплатность: KiCAD является открытым программным обеспечением, поэтому
его можно бесплатно скачать и использовать без ограничений.
3. Интеграция с PCB-дизайном: KiCAD предоставляет полный набор инструментов
для разработки печатных плат, включая редактор схем, редактор футпринтов и
автороутер.
4. Открытый формат файлов: KiCAD использует открытые форматы файлов, что
позволяет легко обмениваться проектами с другими схемотехниками и
разработчиками печатных плат.
5. Активное сообщество: KiCAD имеет большое и активное сообщество
пользователей, что обеспечивает доступ к обширным знаниям и поддержке.
Минусы KiCAD:
1. Интерфейс: Интерфейс KiCAD может показаться не таким интуитивным и
пользовательским как у некоторых коммерческих аналогов, что может вызывать
начальные трудности у новых пользователей.
2. Ограниченные возможности автороутинга: Несмотря на наличие автороутера,
KiCAD не всегда может обеспечить оптимальное размещение и маршрутизацию
компонентов на плате, особенно в сложных схемах.
3. Отсутствие некоторых продвинутых функций: KiCAD не всегда может
предоставить такие продвинутые функции, как автоматическое распределение
вилок и роутинга с длинами трасс, хотя они могут быть реализованы с помощью
скриптов или сторонних плагинов.
4. Отсутствие интеграции с некоторыми сторонними инструментами: KiCAD может
иметь ограниченную или отсутствующую интеграцию с некоторыми сторонними
инструментами, такими как пакеты анализа сигнала или интегрированные среды
разработки микроконтроллеров.
В целом, KiCAD выгодно отличается от своих конкурентов:

Инструмент более чем рабочий, пользоваться вполне можно.

Особенности рабочего процесса не мешают создавать плату.

Много руководств и прочего про этот KiCAD в интернете.

Почти все операции имеют горячие клавиши. И не сочетанием, а просто по
кнопке. Очень интуитивно и удобно.

Лёгкий и удобный экспорт в GERBER. Просмотрщик для контроля также
имеется.

Показывает плату в 3D. И неплохо это делает.

Все файлы - обычный текст, можно легко исправить. Уже пришлось в
созанном мной посадочном месте менять диаметры отверстий и площадок,
решилось за минуту, хотя там их было более двух десятков.

Бесплатный, а можно делать любые платы, с любыми слоями и имеются все
слои для производства - посадочные, маркировки, размещения (для
автоматической сборки на заводе). В общем, годно.
Однако пользователи отмечают следующие нюансы работы с программой:

Тормозит интерфейс.

Подтормаживает скроллинг.
Ниже можно посмотреть алгоритм разработки проекта в программе на примере
трассировке печатной платы для преобразователя уровней на базе MAX232.
1. Установка KiCAD и библиотек
Дистрибутив программы и инструкции по установке имеются на её официальном
сайте. Для Windows качаем бинарный инсталлятор здесь. В общем, установка
элементарна и затруднений не вызывает.
2. Чертим принципиальную схему
Запустив KiCAD мы увидим главное окно программы. Оно содержит дерево проекта
и кнопки вызова программных компонент, предназначенных для различных этапов
проектирования устройства.
Идем в меню Файл -> Новый проект -> Новый проект. Нам предложат выбрать
место, где будут располагаться файлы проекта, а также выбрать его имя. Все
файлы, относящиеся к проекту лучше расположить в отдельном каталоге.
После создания проекта в дереве образуются два файла: rs232.pro — файл
проекта; rs232.sch — файл принципиальной схемы. Дважды кликаем по файлу
схемы и переходим Eeschema — программу для рисования схем.
Приступим к рисованию схемы.
С правой стороны окна расположена панель инструментов. На ней имеется кнопка
с изображением операционного усилителя — жмем на неё и переходим в режим
размещения компонентов. Кликая мышью в поле схемы мы инициируем появление
диалога.
В строке фильтра начинаем набирать «max232». система производит поиск по
библиотеке и предлагает нам интересующую нас микросхему. Выбираем её, жмем
ОК и курсором мыши помещаем компонент в нужное место схемы. Аналогичным
образом кладем на схему электролитический конденсатор, который отзывается в
KiCAD по имени CP.
Наводим курсор на конденсатор, жмем «V» и в появившемся окне задаем его
номинал
Если навести курсор на любой элемент, в частности только что добавленный
конденсатор, то нажатием соответствующих клавиш можно выполнять следующие
действия:
M — переместить компонент (начать перемещение)
C — создать копию компонента
R — повернуть компонент по часовой стрелке
X — отразить компонент относительно горизонтальной оси
Y — отразить компонент относительно вертикальной оси
Действуя описанным образом, размещаем все остальные компоненты схемы.
Кроме того, нам понадобится земля и питание +5 В. Эти элементы добавляются в
режиме размещения портов питания, который включается на правой панели
кнопкой с символом «земли». Нам понадобятся следующие порты: GND —
собственно «земля»; +5V — без комментариев.
В конечном итоге на поле схемы у нас получится что-то вроде этого:
Теперь, нажатием кнопки с изображением зеленой линии переходим в режим
«Разместить проводник» и соединяем выводы всех элементов согласно
принципиальной схеме устройства. Если нам нужна дополнительная «земля»,
наводим курсор на ближайшую «землю», жмем «C» и клонируем её, без отрыва от
процесса соединения элементов. В конечном итоге у нас получится следующая
схема:
Полагая, что мы закончили схему, проверяем правильность её построения с точки
зрения правил KiCAD. Для этого жмем на верхней панели кнопку с изображением
божьей коровки с зеленой галочкой. В предложенном нам окне жмем кнопку
«Выполнить» и получаем результат. Добиваемся такого результата, когда ошибок
проектирования не будет и сохраняем готовую схему.
Теперь следует сформировать список цепей схемы, который будет использоваться
нами в дальнейшем. Для этого идем в меню Инструменты -> Сформировать список
цепей, или жмем соответствующую кнопку на верхней панели. В появившемся окне
выбираем родной для KiCad формат списка цепей, задаем имя файла списка
rs232.net и жмем кнопку «Сформировать».
Схема готова и можно приступать к следующему этапу
2. Связывание компонентов и их посадочных мест
Этот этап отражает особенность KiCAD — схемное обозначение компонента
отвязано от его посадочного места и визуального представления. Прежде чем
приступить к разводке платы, каждому компоненту надо привести в соответствие
его посадочное место (footprint) — топологическая структура задающая по сути
размер и расположение отверстий и/или контактных площадок на плате,
предназначенных для монтажа данного компонента. Это делается с помощью
входящей в состав пакета программы CvPcb. Для её запуска идем в меню
Инструменты -> Assign Component Footprint. Система немного задумается и выдаст
окно:
В первой колонке расположен список доступных библиотек. Во второй колонке —
список компонентов, представленных в нашей схеме. В третьей — список
доступных посадочных мест. Скажем нам нужна определится с форм-фактором
конденсатора C1. У нас имеются в наличии конденсаторы Ether для монтажа в
отверстия с диаметров 5 мм, высотой 11 мм и с расстоянием между выводами 2
мм. Хорошо, выбираем библиотеку Capacitor_ThroughHole (конденсаторы для
монтажа в отверстия) в первой колонке, конденсатор C1 во второй колонке и
посадочное место C_Radial_D5_L11_P2 в третьей колонке. Двойным щелчком по
выбранному посадочному месту связываем его с компонентом. Справа от
конденсатора C1 появится выбранное посадочное место, как показано на рисунке
выше.
Для проверки посмотрим на чертеж посадочного места, нажав кнопку с
изображением микросхемы под лупой на верхней панели
Нажав в окне просмотрщика кнопку с изображением микросхемы, мы увидим 3Dмодель компонента
Убеждаемся, что выбранное посадочное место соответствует фактической детали,
имеющейся у нас. Таким же образом связываем и остальные компоненты.
Сохраняем полученный список, закрываем CvPcb и заново генерируем список
цепей. Теперь всё готово чтобы приступить к непосредственной разводке платы.
3. Разводка печатной платы
Для этого из меню редактора схем Инструменты -> Layout Printer Circuit Board
запускаем программу-трассировщик Pcbnew
Для настройки правил трассировки идем в меню «Правила проектирования» и в
окне задаем ширину дорожек, зазор между ними, диаметр отверстий, диаметр
сверла в соответствии с имеющимися у Вас техническими возможностями. Мои
настройки представлены на скриншоте.
Далее необходимо импортировать спроектированную схему. Для этого идем в
меню Инструменты -> Список цепей. В появившемся окне выбираем файл списка
цепей (наш rs232.net сформированный на предыдущем этапе) и жмем кнопку
«Прочитать текущий список цепей»
Если мы не ошибались на предшествующих этапах, процесс пройдет без ошибок.
Закрываем окно и видим, что компоненты разместились в окне чертежа платы:
Разумеется они все слиплись в кучу. И их придется растащить на предназначенные
для них места. Перемещение компонентов происходит теми же командами что и в
редакторе схем — наводим курсор на элемент и жмем «M». Если мы хотим
переместить компонент на другую сторону платы, то в режиме перемещения
нажимаем клавишу «F». Так следует поступить с микросхемой U1, ибо она
располагается со стороны дорожек, ввиду SMD-исполнения корпуса.
Стараемся размести компоненты так, чтобы получалось как можно меньше
пересекающихся связей. Теперь можно приступать к трассировка. Автоматическая
трассировка у меня не вышла, возможно я не до конца разобрался с её
настройками. Для ручной трассировки перейдем в режим трассировки нажав на
верхней панели кнопку «Режим дорожек: автотрассировка».
Правой кнопкой мыши щелкаем по пустому пространству рабочего окна и в
выпавшем меню выбираем «Выбор рабочего слоя». В появившемся окне выбираем
слой B.Cu (медь с обратной стороны платы)
Наводим курсор на какой-либо пин и жмем «X». Появится дорожка, идущая от
выбранного пина до текущего положения курсора. Тянем эту дорожку, фиксируя её
промежуточные точки однократными щелчками мыши. По завершении, на
последнем пине делаем двойной щелчок. Если нам не нравится результат, жмем
Esc отменяя проведенную дорожку. Другие полезные команды и их горячие
клавиши доступны в контекстном меню, вызываемом правой кнопкой в момент
трассировки.
Довольно скоро мы получаем результат
Желтой линией на скрине показан контур платы. Чтобы нарисовать его переходим
в слой Edge.Cuts (список слоев расположен в окне программы справа) и
инструментом «Линия или полигон» (кнопка с изображением пунктирной линии на
правой панели инструментов) рисуем контур платы.
Теперь всё готово. Сохраняем результат. Можно просмотреть получившуюся плату
в режиме 3D (в меню Просмотр -> Просмотрщик 3D)
Результат выглядит довольно симпатично, правда монтаж можно сделать и
поплотней.
Download