Загрузил al.rosinskii

диплом 8

реклама
ФЕДЕРАЛЬНОЕ АГЕНТСТВО ЖЕЛЕЗНОДОРОЖНОГО ТРАНСПОРТА
ГОСУДАРСТВЕННОЕ БЮДЖЕТНОЕ ОБРАЗОВАТЕЛЬНОЕ
УЧРЕЖДЕНИЕ ВЫСШЕГО ОБРАЗОВАНИЯ
ИРКУТСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ПУТЕЙ СООБЩЕНИЯ
(ИрГУПС)
На правах рукописи
УСТИНОВ РОМАН ИВАНОВИЧ
ПОВЫШЕНИЕ РАБОТОСПОСОБНОСТИ
ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНЫХ ПРЕОБРАЗОВАТЕЛЕЙ
ЭЛЕКТРОВОЗА ПЕРЕМЕННОГО ТОКА В РЕЖИМЕ
РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ
Специальность 05.22.07 – «Подвижной состав железных дорог,
тяга поездов и электрификация»
ДИССЕРТАЦИЯ
на соискание учёной степени кандидата технических наук
Научный руководитель
доктор технических наук, профессор Мельниченко Олег Валерьевич
Хабаровск – 2020 г.
2
СОДЕРЖАНИЕ
ВВЕДЕНИЕ ........................................................................................................ 6
1. АНАЛИЗ СИЛОВЫХ СХЕМ ЭЛЕКТРОВОЗОВ ПЕРЕМЕННОГО ТОКА
И
ТЕХНИЧЕСКИХ
РЕШЕНИЙ
ЗАЩИТЫ
ТЯГОВЫХ
ПРЕОБРАЗОВАТЕЛЕЙ ЭЛЕКТРОВОЗОВ ОТ АВАРИЙНЫХ РЕЖИМОВ
РАБОТЫ ПРИ РЕКУПЕРАТИВНОМ ТОРМОЖЕНИИ ....................................... 12
1.1 История развития рекуперативного торможения на отечественных
электровозах переменного тока с коллекторными тяговыми двигателями ........ 12
1.1.1 Электровозы переменного тока с ионными преобразователями ..... 14
1.1.2 Электровозы
переменного
тока
с
тиристорными
преобразователями .................................................................................................... 17
1.2 Анализ отечественных и зарубежных способов и устройств защиты
тиристорных преобразователей электроподвижного состава переменного тока .. 27
1.2.1 Устройство защиты тиристорного преобразователя электроподвижного
состава
27
1.2.2 Устройство
для
токовой
защиты
электровоза
в
режиме
рекуперативного торможения .................................................................................. 29
1.2.3 Способ и устройство защиты преобразователя электровоза ............ 30
1.2.4 Устройство для функционально диагностирования и защиты
тиристорного преобразователя электровоза ........................................................... 31
1.2.5 Устройство защиты полупроводникового преобразователя ............ 33
1.3 Максимальная токовая защита тяговых двигателей в режиме
рекуперативного торможения .................................................................................. 35
1.4 Постановка цели и задач исследования ................................................. 37
2. ИССЛЕДОВАНИЕ
ЭЛЕКТРОМАГНИТНЫХ
ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНЫХ
ПРОЦЕССОВ
ПРЕОБРАЗОВАТЕЛЕЙ
ЭЛЕКТРОВОЗА ПЕРЕМЕННОГО ТОКА В РЕЖИМЕ РЕКУПЕРАТИВНОГО
ТОРМОЖЕНИЯ ........................................................................................................ 38
2.1 Исследование электромагнитных процессов при штатной работе ВИП
в режиме рекуперативного торможения ................................................................. 38
3
2.1.1 Исследование электромагнитных процессов при штатной работе
ВИП в режиме рекуперативного торможения на примере четвертой зоны
регулирования ............................................................................................................ 39
2.2 Исследование аварийных электромагнитных процессов типового
тиристорного ВИП в режиме рекуперативного торможения при пропусках
импульсов управления .............................................................................................. 52
2.2.1 Исследование электромагнитных процессов аварийной работы
тиристорного ВИП в режиме рекуперативного торможения при пропуске
импульсов управления на примере плеча VS2 ....................................................... 55
2.2.2 Исследование электромагнитных процессов аварийной работы
тиристорного ВИП в режиме рекуперативного торможения при пропуске
импульсов управления на примере плеча VS7 ....................................................... 59
3 РАЗРАБОТКА
И
ИССЛЕДОВАНИЕ
СПОСОБА
ПОВЫШЕНИЯ РАБОТОСПОСОБНОСТИ ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНЫХ
ПРЕОБРАЗОВАТЕЛЕЙ ЭЛЕКТРОВОЗА ПЕРЕМЕННОГО ТОКА В РЕЖИМЕ
РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ............................................................... 65
3.1 Разработка способа повышения работоспособности ВИП электровоза
переменного тока в режиме рекуперативного торможения.................................. 65
3.2 Исследование
электромагнитных
процессов
при
работе
ВИП
электровоза согласно разработанному алгоритму управления в режиме
рекуперативного
торможения
при
пропуске
импульса
управления
на
примере тиристорного плеча VS2 ........................................................................... 68
3.3 Исследование
электромагнитных
процессов
при
работе
ВИП
электровоза согласно разработанному алгоритму управления в режиме
рекуперативного
торможения
при
пропуске
импульса
управления
на
примере тиристорного плеча VS7 ........................................................................... 77
4 МАТЕМАТИЧЕСКОЕ МОДЕЛИРОВАНИЕ ЭЛЕКТРОМАГНИТНЫХ
ПРОЦЕССОВ В СИСТЕМЕ «ТЯГОВАЯ ПОДСТАНЦИЯ – КОНТАКТНАЯ СЕТЬ
– ЭЛЕКТРОВОЗ» В РЕЖИМЕ РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ ............ 90
4
4.1 Выбор прикладного пакета для математического моделирования сложных технических систем ........................................................................................... 90
4.2 Имитационная модель системы электроснабжения переменного тока ... 90
4.3 Математическая модель силовых цепей электровоза переменного тока
в режиме рекуперативного торможения ................................................................. 94
4.3.1 Математическая модель тягового трансформатора электровоза ..... 96
4.3.2 Математическая
модель
ВИП
в
режиме
рекуперативного
торможения электровоза......................................................................................... 101
4.3.4 Математическая модель выпрямительной установки возбуждения ...105
4.3.3
Математическая модель блока управления ВИП с типовым и
предлагаемым алгоритмами управления .............................................................. 107
4.3.5 Математическая модель цепи выпрямленного тока в режиме
рекуперативного торможения ................................................................................ 111
5 РЕЗУЛЬТАТЫ
МАТЕМАТИЧЕСКОГО
МОДЕЛИРОВАНИЯ
ЭЛЕКТРОМАГНИТНЫХ ПРОЦЕССОВ ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНОГО
ПРЕОБРАЗОВАТЕЛЯ ЭЛЕКТРОВОЗА С ТИПОВЫМ И ПРЕДЛАГАЕМЫМ
АЛГОРИТМАМИ УПРАВЛЕНИЯ ПРИ ПРОПУСКАХ ИМПУЛЬСОВ УПРАВЛЕНИЯ В РЕЖИМЕ РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ ......................... 117
5.1 Оценка адекватности электромагнитных процессов, наблюдаемых при
работе электровоза в эксплуатации и на математической модели в режиме
рекуперативного торможения ................................................................................ 117
5.2 Сравнительное
исследование
результатов
математического
моделирования работы электровоза с типовым и предлагаемым алгоритмами
управления ВИП при пропусках импульсов управления.................................... 120
5.2.1 Моделирование работы электровоза с типовым и предлагаемым
алгоритмами управления ВИП в
режиме
рекуперативного
торможения
на примере IV зоны регулирования ....................................................................... 121
5.2.2 Моделирование работы электровоза с типовым и предлагаемым
алгоритмами управления ВИП в
режиме
рекуперативного
торможения
на примере II зоны регулирования ........................................................................ 129
5
5.2.3 Анализ полученных результатов моделирование работы электровоза
с типовым и предлагаемым алгоритмами управления ВИП в режиме
рекуперативного торможения ................................................................................ 136
5.3 Технико-экономическая оценка разработанного способа повышения
работоспособности
ВИП
электровоза
переменного
тока
в
режиме
рекуперативного торможения ................................................................................ 141
5.3.1 Расчет
капитальных
затрат
на
модернизацию
ПО
МСУД
электровоза переменного тока серии 3ЭС5К ....................................................... 141
5.3.2 Расчет годового экономического эффекта от внедрения разработанного
алгоритма повышающего работоспособность режима рекуперативного торможения
электровоза переменного тока................................................................................ 143
ЗАКЛЮЧЕНИЕ ............................................................................................. 149
СПИСОК ЛИТЕРАТУРЫ ............................................................................ 151
ПРИЛОЖЕНИЕ А. Патент на изобретение ............................................... 163
ПРИЛОЖЕНИЕ Б. Свидетельство
о
государственной
регистрации
программы для ЭВМ ............................................................................................... 165
ПРИЛОЖЕНИЕ В Листинг разработанного ПО ...................................... 167
ПРИЛОЖЕНИЕ Г. Акт, подтверждающий практическую значимость
диссертационной работы ........................................................................................ 180
6
ВВЕДЕНИЕ
Актуальность темы исследования. История развития отечественных электровозов переменного тока начинается с электровоза ОР-22, построенного на московском заводе «Динамо» в 1938 году [71]. На этом электровозе в качестве главного тягового преобразователя применялся многоанодный ртутный выпрямитель
типа РВ-20Э, специально адаптированный для установки на электроподвижной
состав (ранее применяемый на тяговых подстанциях). Регулирование выпрямленного напряжения ртутным преобразователем осуществлялось за счет изменения
угла сдвига потенциала его сеток управления относительно анода и было плавным
[71]. Применение рекуперативного торможения на «первенце» на тот момент являлось невозможным из-за нестабильной работы и низкой надёжности преобразователя [113]. Освоение рекуперативного торможения на электровозах с ионными
преобразователями велось такими учеными как: Тихменевым Б.Н., Трахтманом Л.М,
Лозановским А.Л., Капаневым А.С., Наумовым Б.М., Тушкановым Б.А.,
Кучумовым В.А., Савоськиным А.Н., Власьевским С.В. и др. Первым советским
электровозом переменного тока с рекуперативным торможением стал ВЛ61-012,
спроектированный на Новочеркасском электровозостроительном заводе (НЭВЗ) в
марте 1957 года [113, 70]. На электровозе в качестве главного тягового преобразователя применялись одноанодые ртутные управляемые вентили – игнитроны типа
ИВС-200/5, соединённые по схеме с нулевым выводом, питание обмоток возбуждения тяговых электродвигателей производилось от ближайшей к нулевому выводу
ступени тягового трансформатора через селеновый выпрямитель. На электровозе
применялись различные системы автоматического управления торможением, разработанные Всероссийским научно-исследовательским институтом железнодорожного транспорта (ВНИИЖТ) и Всероссийским научно-исследовательским институтом электромеханики (ВНИИЭМ). Тем не менее электровозы с игнитронными преобразователями имели низкую надежность и значительные трудности при обслуживании и эксплуатации [118].
7
С появлением кремниевых силовых полууправляемых приборов (СПП) – диодов, началась интенсивная интеграция их в различные области науки и техники.
Это было вызвано значительными преимуществами, связанными с простотой применения и высокой надежностью по сравнению с игнитронами, что на железнодорожном транспорте являлось одним из ключевых критериев, предъявляемых к элементной базе СПП. Первым отечественном электровозом переменного тока с выпрямительной установкой на базе кремниевых диодов стал ВЛ60К-001, выпущенный НЭВЗом в конце 1962 года. Главным тяговым преобразователем электровоза
была выпрямительная установка типа ВУК на силовых диодах ВК2-200 4-го
класса [13, 19, 24]. Регулирование напряжения осуществлялось по принципу переключения секций вторичной обмотки тягового трансформатора и было ступенчатым. Электрическое торможение применялось реостатное, т.к. применение рекуперативного торможения с диодными выпрямительными установками было невозможным. Электровозы более поздней серии, работающие по принципиально
схожей силовой схеме ВЛ80С, выпускались вплоть до 1995 года [27, 66, 70].
Следующим шагом в развитии отечественного электровозостроения переменного тока стал выпуск электровоза серии ВЛ80Р-300 в 1968 году [113]. В качестве главного тягового преобразователя на электровозе был установлен выпрямительно-инверторный преобразователь (ВИП) тип ВИП-1760 на новой элементной
базе – тиристорах типа ТЛ-200 7-го класса [13, 18, 19, 24, 38, 66, 70, 88, 90, 114].
Применение тиристоров позволило вернуться к плавному регулированию напряжения и осуществлять рекуперативное торможение. Плавное зонно-фазовое регулирование обеспечивалось за счет изменения угла подачи управляющего импульса на тиристоры преобразователя. Использование принципа зонно-фазового
регулирования напряжения на то время являлось крупным шагом в развитии электровозостроения в России. Если рассматривать современные отечественные электровозы переменного тока с коллекторным тяговым приводом серии «Ермак», выпускающийся НЭВЗ с 2004 года, то принцип и алгоритмы управления ВИП остаются такими же, как и на первых электровозах серии ВЛ80Р. Несмотря на богатый
опыт применения рекуперативного торможения на электровозах переменного тока
8
(более пятидесяти лет), этот режим по-прежнему является наиболее сложным и уязвимым по сравнению с режимом тяги, отличающийся низкой надежностью, что зачастую приводит к возникновению аварийных процессов в инверторе электровоза
[1, 18, 20, 21, 32, 39, 55, 57, 61, 63, 65, 66, 71, 83, 86, 88, 90, 93, 97, 108].
В ходе анализа случаев образования аварийных процессов ВИП электровозов
выявлено, что большая часть повреждений и сбоев в работе ВИП происходит из-за
отказов отдельных электронных компонентов или сбоев в работе системы управления преобразователем в целом, это является причиной пропусков импульсов управления. Отсутствие коммутации ходя бы одного из тиристорных плеч инвертора
электровоза в режиме рекуперативного торможения вызывает образование короткого замыкания в его силовых цепях, что как следствие, приводит к срабатыванию
аппаратов защиты и отключению электровоза от контактной сети. В итоге это приводит к резкой потере тормозного эффекта электровоза, машинисту необходимо перейти на использование только пневматического торможения. В результате чего,
возникающие продольно-динамические реакции в составе поезда способны разорвать его или привести к сходу подвижных единиц с рельсового пути, что в значительной степени снижает безопасность движения поездов. Благодаря применению
на электровозах современных серий «Ермак» и ЭП1М/П, микропроцессорной системы управления многие задачи по повышению работоспособности и надежности
работы электровоза в режиме рекуперативного торможения могут решаться с помощью доработки программного обеспечения без установки дополнительного оборудования в кузов электровоза [6, 11, 12, 16, 17, 39, 47, 62, 66, 97, 116, 117].
Степень разработанности проблемы. Вопросы повышения работоспособности и надёжности работы ВИП в режиме рекуперативного торможения являются проработанными многими учеными,
такими
как:
Б. Н.
Тихменев,
Л. М. Трахтман, В. А. Кучумов, А. Л. Лозановский, Л. Д. Капустин, Л. В. Поссе,
В. А. Голованов, К. Г. Кучма, А. Н. Савоськин, С. В. Власьевский, Ю. М. Кулинич,
О. В. Мельниченко и др. Однако значительная часть разработок в вышеуказанных
направлениях основана на установке дополнительных элементов и оборудования
в конструкцию электровоза или преобразователя, что влечет за собой удорожание
9
и усложнение систем управления тяговым приводом. Благодаря применению на
современных отечественных электровозах микропроцессорной системы управления и диагностики (МСУД), многие задачи, связанные с повышением работоспособности могут решаться при помощи доработки программного обеспечения, связанного с управлением преобразователями электровоза.
Целью диссертационной работы является повышение работоспособности
преобразователей электровоза в режиме рекуперативного торможения при пропусках импульсов управления на их тиристорные плечи.
Для достижения указанной цели в диссертационной работе поставлены
следующие задачи:
- провести анализ силовых схем электровозов переменного тока и технических
решений направленных на защиту тяговых преобразователей электровозов от аварийных режимов работы;
- провести аналитическое исследование электромагнитных процессов ВИП
электровоза, как при штатной работе, так и при аварийной, вызванной пропусками
импульсов управления;
- разработать способ повышения работоспособности ВИП электровоза в режиме рекуперативного торможения при пропусках импульсов управления на их тиристорные плечи;
- разработать способ определения тиристорных плеч ВИП электровоза переменного тока не принявших токовую нагрузку;
- уточнить математическую модель электровоза в режиме рекуперативного
торможения с предлагаемым способом повышения работоспособности ВИП при
пропусках импульсов управления;
- провести сравнительное исследование результатов математического моделирования работы электровоза в режиме рекуперативного торможения с типовым и
предлагаемым алгоритмами управления ВИП при пропусках импульсов управления.
Методы исследования. Исследование основано на применении теории
электрических цепей, методов математического моделирования, численных методов решения интегральных и дифференциальных уравнений, теории преобразовательных устройств. Экспериментальные исследования проводились на математической модели в интерактивной среде MatLab/Simulink.
10
Научная новизна работы заключается в следующем:
1. Разработан способ повышения работоспособности ВИП электровоза в режиме рекуперативного торможения, основанный на резервировании тиристорных
плеч, не принявших токовую нагрузку другими – параллельными плечами этого
же преобразователя, обеспечивающий сохранение процесса инвертирования тока
и тормозную силу электровоза.
2. Разработан способ определения тиристорных плеч ВИП не принявших токовую нагрузку, основанный на анализе длительности коммутации тока в контурах преобразователя и скорости его нарастания.
3. Уточнена математическая модель системы «Тяговая подстанция-контактная сеть-электровоз» в режиме рекуперативного торможения, позволяющая исследовать электромагнитные процессы штатной и аварийной работы ВИП электровоза,
вызванной пропусками импульсов управления, а также с предлагаемым способом
управления преобразователями.
Практическая ценность и реализация результатов работы состоит в следующем:
1. Обобщенная математическая модель системы «Тяговая подстанция – контактная сеть – электровоз», разработанная в программном комплексе «MatLab»,
позволяет исследовать электромагнитные процессы, протекающие в ВИП электровоза в режиме рекуперативного торможения при: аварийной работе ВИП, срабатывании типовой системы защиты и предлагаемом способе управления с сохранением процесса инвертирования.
2. Методика определения начала возникновения аварийного нарастания
тока в силовых цепях ВИП электровоза и выявления тиристорных плеч преобразователей, не принявших токовую нагрузку.
3. Алгоритм управления тиристорными плечами ВИП электровоза в случае
пропусков импульсов управления для микропроцессорных систем управления тяговым приводом электровозов переменного тока в режиме рекуперативного торможения.
4. Программное обеспечение для микропроцессорных систем управления
тяговым приводом электровозов переменного тока с тиристорными ВИП в режиме
рекуперативного торможения.
11
Достоверность научных положений и результатов диссертации подтверждается итогами математического моделирования в среде MatLab/Simulink и совпадением
их с результатами эксплуатационных испытаний электровоза серии 2ЭС5К.
Апробация работы. Основные положения, результаты и выводы диссертационной работы докладывались и обсуждались на: международной научно-практической конференции «Транспортная инфраструктура сибирского региона»
(ИрГУПС, Иркутск, 2016, 2018 гг.); всероссийской научно-практической конференции «Наука и молодёжь» (ИрГУПС, Иркутск, 2016-2018 гг.); всероссийской
научно-практической конференции «Экспертиза разработанных технических моделей для повышения качества образовательного процесса по специальности
23.05.03» (Иркутск, 2017 г.), всероссийской научно-практической конференции
«Безопасность транспорта и сложных технических систем глазами молодежи»
(ИрГУПС, Иркутск, 2018 г.), международной конференции «Научные исследования
2018» Карловы Вары (Чехия), расширенном межкафедральном научно-техническом семинаре ФГБОУ ВО «Дальневосточного государственного университета путей сообщения» (ДВГУПС, Хабаровск, 2018, 2019 гг.), научно-техническом совете
ФГБОУ ВО «Иркутского государственного университета путей сообщения»
(ИрГУПС, Иркутск, 2019 г.), заседании научно-технического семинара ФГБОУ ВО
«Комсомольского-на-Амуре государственного университета» (КнАГУ, Комсомольск-на-Амуре, 2019 г.), международная научная конференция «Инновационные
технологии развития транспортной отрасли» (ДВГУПС, Хабаровск, 2019 г.).
Публикации. Основные научные результаты диссертации изложены в 14
научных статьях, из них две в журналах из перечня рецензируемых изданий ВАК
при Минобрнауке России, одна в издании, входящем в международную систему
цитирования Scopus, получен один патент на изобретение и одно свидетельство о
государственной регистрации программы для ЭВМ.
Структура и объем диссертации. Диссертационная работа состоит из введения, пяти глав, заключения, списка использованных источников и четырех приложений. Объем диссертации составляет 162 страницы основного текста, 6 таблиц,
88 рисунков, список использованных источников включает 122 наименования.
12
1 АНАЛИЗ СИЛОВЫХ СХЕМ
ТОКА
И
ТЕХНИЧЕСКИХ
ПРЕОБРАЗОВАТЕЛЕЙ
ЭЛЕКТРОВОЗОВ
РЕШЕНИЙ
ЭЛЕКТРОВОЗОВ
ОТ
ПЕРЕМЕННОГО
ЗАЩИТЫ
ТЯГОВЫХ
АВАРИЙНЫХ
РЕЖИМОВ
РАБОТЫ ПРИ РЕКУПЕРАТИВНОМ ТОРМОЖЕНИИ
1.1 История развития рекуперативного торможения на отечественных
электровозах переменного тока с коллекторными тяговыми двигателями
Первым отечественным электровозом переменного тока является электровоз
серии ОР22-01, построенный в 1938 г. на заводе Динамо им. С.М. Кирова. В разработке этого электровоза принимал участие, а в последствии стал главным конструктором Борис Николаевич Тихменев [113].
В октябре 1938 г. завод Динамо закончил постройку первого в Советском
Союзе электровоза однофазного тока частотой 50 Гц. Локомотив получил обозначение ОР22-01, что означало: однофазный, с ртутными выпрямителями и нагрузкой от колёсных пар на рельс 22 тс. На электровозе был установлен двенадцатианодный ртутный выпрямитель однофазного тока с сеточным регулированием
напряжения РВ-20Э, изготовленный специально для установки на электровоз заводом «Электросила» им. С.М. Кирова. Выпрямитель охлаждался водой, которая
циркулировала под действием насосов через воздушный радиатор, воздух через
радиатор прогонялся вентилятором. Ртутный выпрямитель был установлен в кузове электровоза на амортизаторах, что уменьшало расплескивание ртути катода
[50, 66, 71, 113, 118].
В отличие от промышленного ртутного выпрямителя РВ-20, РВ-20/Э имел ряд
конструкторских доработок: форвакуумная система смонтирована на корпусе, главные аноды сбалансированы, вспомогательные аноды укреплены пружинами; ртуть
катода амортизирована специальным приспособлением. Сам выпрямитель подвешен
на установочной раме на пружинах. Из соображений компоновки оборудования на
электровозе весь выпрямитель спроектирован компактно.
13
Для повышения коэффициента мощности при регулировании два анода выпрямителя использовались в качестве буферных вентилей и присоединялись к средней точке вторичной обмотки трансформатора через делитель тока. Остальные
аноды были включены по пять параллельно на фазу через пятистержневые делители тока. Игнитроны использовались как мощные выпрямители переменного тока
и обладали высоким КПД (98-99 %), были малочувствительны к перегрузкам.
ХА1
ХА2
ТТ
К фазорегулятору в контроллере
машиниста
К1
К2
К3
К4
ОВ1
Я1
1
ОВ2
СР
Я2
ОВ3
Я3
ОВ4
Я4
ОВ5
Я5
ОВ6
Я6
ХА1, ХА2 – токоприемник; ТТ – тяговый трансформатор; К1-К4 – контакторы;
СР – сглаживающий реактор; Я1-Я6 – тяговый электродвигатель; ОВ1ОВ6 – обмотки возбуждения; 1 – двенадцатианодный ртутный выпрямитель.
Рисунок 1.1 – Принципиальная электрическая силовая схема электровоза
серии ОР-22
Зимой и летом 1940 г. под руководством инженера В.А. Забродина проходили
испытания электровоза на кольце научно-исследовательского института железнодорожного транспорта (ВНИИЖТ). Испытания показали, что электровоз, благодаря параллельному включению тяговых электродвигателей и плавному сеточному регулированию напряжения, имел более высокие тяговые свойства по сравнению с электровозами постоянного тока [39, 63, 67].
14
Создание электровоза ОР22-01 опередило начало электрификации железных
дорог на переменном токе и позволило получить достаточно богатый опыт для
дальнейшей работы в этом направлении.
1.1.1 Электровозы переменного тока с ионными преобразователями
Для электрифицированного в 1955-1956 гг. на переменном токе частотой
50 Гц участка Ожерелье-Павелец Московской железной дороги Новочеркасский
электровозостроительный завод (НЭВЗ) спроектировал и построил партию шестиосных грузовых электровозов серии ВЛ61, который является первым серийным и вторым после ОР22 отечественным электровозом переменного тока [50, 71].
В качестве выпрямительной установки на электровозе серии ВЛ61 первоначально использовались металлические игнитроны семейства ИВС, а именно ИВС200/5, разработанные Всесоюзным электротехническим институтом имени
В.И. Ленина под руководством кандидата физических наук Т.А. Суэтина и представляющие собой одноанодные ионные электровакуумные вентили с ртутным катодом [70, 73]. В отличие от первого опытного электровоза ОР22-01 с ртутным многоанодным выпрямителем, на электровозах серии ВЛ61 не было сеточного регулирования напряжения. Это ухудшило тяговые свойства электровозов, но взамен позволило снизить влияние работы силового тягового оборудования на линии поездной радиосвязи и значительно упростило конструкцию самих выпрямительных
установок [70].
В порядке эксперимента по предложению ВНИИЖТ самый последний
электровоз серии ВЛ61 № 012 был оборудован системой рекуперативного
торможения, при этом игнитронные преобразователи работали не только в режиме
выпрямителей, но и в режиме инверторов. Изначально в режиме генераторов
электрической энергии работали только три тяговых электродвигателя, но после
модернизации и отладки системы в 1959 г. при рекуперативном торможении все
шесть тяговых электродвигателей работали в режиме генераторов, рисунок 1.2.
15
XA1
XA2
ТТ
K1
I
II
K3
K2
III
IV
V
K4
VI
VII
IX
XI
X
XII
VIII
K5
СР
Я1
1
Я2
Я6
6
1
2
3
4
5
6
ОВ4
ОВ5
ОВ6
5
ОВ3
4
Я5
ОВ2
3
Я4
ОВ1
2
Я3
1
2
3
4
5
6
XA1- XA2 – токоприемники; ТТ – тяговый трансформатор; К1-К5 – линейные контакторы; I-XII – игнитроны; СР – сглаживающий реактор; Я1-Я6 – якорные обмотки ТЭД; ОВ1-ОВ6 – обмотки возбуждения ТЭД; 1-6 – тормозные переключатели.
Рисунок 1.2 – Принципиальная электрическая силовая схема электровоза
серии ВЛ61 № 012 в режиме рекуперативного торможения
Положительные результаты испытаний опытного электровоза ВЛ61 № 012
способствовали тому, что по предложению ВНИИЖТ было принято решение о
разработке силами НЭВЗ, Новочеркасского научно-исследовательского института
электровозостроения (ВЭлНИИ) и ВНИИЖТ электровоза переменного тока с рекуперативным торможением на базе серийного электровоза ВЛ60 [60, 72, 107].
В 1962 г. на экспериментальном кольце ВНИИЖТ начались наладочные работы, а позднее были проведены тягово-энергетические испытания электровозов
16
серии ВЛ60Р № 001 и № 002 [113]. Для выпрямительных установок использовались 12 игнитронов ИВП-500/5 Таллинского завода ртутных выпрямителей (по
шесть в каждой установке), схема подключения игнитронов была аналогичная
схеме электровоза ВЛ61 № 012, рисунок 1.2.
Для реализации системы рекуперативного торможения на электровозах добавились блоки аппаратуры регулирования фаз зажиганий игнитронов. В то
время, когда изготавливались электровозы серии ВЛ60Р, ещё не было силовых тиристоров, которые по своим параметрам и надежности работы могли бы заменить
игнитроны. Тем не менее с учётом преимущества твердотельных электронных
ключей (меньшие потери, отсутствие жидкостного охлаждения) уже тогда в виде
опыта на двух электровозах серии ВЛ60Р (№ 2126, № 2130) вместо игнитронов в
цепь возбуждения ТЭД были установлены тиристорные преобразователи. После
успешных испытаний в 1964-1966 гг. была выпущена серия подобных электровозов с рекуперацией в количестве 85 локомотивов. Электровозы работали на Северо-Кавказской, Восточно-Сибирской и Дальневосточной железных дорогах на
участках с горным профилем пути [60].
Создание мощных ртутных полупроводниковых приборов – игнитронов,
дало толчок в развитии отечественных электровозов переменного тока, массовой
электрификации железнодорожных линий на переменном токе и становлению послевоенной промышленности. Однако игнитроны имели существенные недостатки:
при прожоге корпуса выпрямителя могло возникнуть заражение ртутью, являющееся опасным для здоровья людей; сложность конструкции; трудность обеспечения
герметичности корпусов приборов в условиях вибрации подвижного состава; нормальная работа игнитронов обеспечивалась при поддержании температуры выпрямителей в пределах 35-40 ºС, что требовало применение системы жидкостного
охлаждения. Кроме того, электромагнитная система управления зажиганиями игнитронов была крайне ненадежна, зачастую сбои в её работе приводили к пропускам, несвоевременным или обратным зажиганиям игнитронов, что приводило к образованию аварийных режимов работы преобразователей. Особенно это затрудняло
17
применение режима рекуперативного торможения на электроподвижном составе
переменного тока [13, 66, 71].
1.1.2 Электровозы переменного тока с тиристорными преобразователями
Электровоз ВЛ80Р. Магистральные грузовые электровозы переменного тока
серий ВЛ80Т выпускаемые НЭВЗ с 1967 г. оборудованы системой реостатного тормоза, при котором электрическая энергия, вырабатываемая тяговыми электродвигателями электровоза работающих в режиме генераторов, не возвращается в контактную сеть, а сжигается на мощных реостатах в самом электровозе. Применения этого
вида электрического торможения значительно снижает эффективность электровозов,
особенно при эксплуатации на участках с тяжелым (горным) профилем пути [27, 115].
Первостепенной задачей, стоявшей для конструкторов электровозов, являлась разработка электронного и силового оборудования необходимого для реализации системы рекуперативного торможения на электровозах переменного тока с
применением перспективной на то время полупроводниковой базы – тиристоров
[3, 15, 19, 35, 80, 82, 89].
По техническим требованиям ВНИИЖТ, ВЭлНИИ и НЭВЗ на базе электровозов серии ВЛ80Т были созданы электровозы серии ВЛ80Р оборудование системой рекуперативного торможения. В январе 1968 г. изготовили опытный электровоз с рекуперативным торможением ВЛ80Р № 300. В тяговых преобразователях электровоза
суммарно были установлены 1200 тиристоров ТЛ-200-6 7-го класса, которые находились в четырех выпрямительно-инверторных преобразователях (ВИП) типа ВИП-1760
по 300 штук в каждой соответственного [70]. Применение тиристоров позволило вернуться к плавному регулированию напряжения на коллекторах тяговых электродвигателей и осуществлять рекуперативное торможение. Плавное зонно-фазовое регулирование напряжение обеспечивалось за счет изменения угла подачи управляющего импульса на управляющие электроды тиристоров преобразователей [30, 42, 108, 110, 111,
114, 115]. Использование принципа зонно-фазового регулирования напряжения на то
время являлось большим шагом в развитии отечественного электровозостроения.
18
Принципиальная электрическая силовая схема электровоза в режиме рекуперативного
торможения в расчете на одну тележку изображена на рисунке 1.3.
XA1
ТТ
ВУВ
ВИП
VS9
VS1
VS2
VS4
VS3
VS5
VS6
VS7
VS8
К1
К2
СР
К3
Я1
ВУВ
Я2
VS10
2-я секция
электровоза
Цепи возбуждения ТЭД 2-ой секции
ТП
ТП
ТП
ТП
ТП
R1
ОВ5,ОВ6
ОВ1
ТП
ОВ7,ОВ8
R2
ТП ОВ2
ТП
ТП
ТП
к ОВ3,ОВ4
2-ой тележки
XA1 – токоприемник; ТТ – тяговый трансформатор; VS1-VS10 – тиристоры;
СР – сглаживающий реактор; Я1-Я2 – якорные обмотки ТЭД; ОВ1-ОВ2 – обмотки
возбуждения ТЭД; R1-R2 –балластные резисторы; ТП – тормозные переключатели;
К1-К3 – линейные контакторы.
Рисунок 1.3 – Упрощенная электрическая силовая схема одной тележки
электровоза серии ВЛ80Р в режиме рекуперативного торможения
После проведения первых эксплуатационных тягово-энергетических испытаний, электровоз поступил для опытной эксплуатации в депо Батайск Северо-Кавказской дороги. Там в 1969 г. были проведены расширенные тягово-энергетические испытания электровоза. По результатам эксплуатационных испытаний электровозов
№ 300, № 621, № 622, ВЭлНИИ провел глубокую модернизацию электровозов, особенно изменения коснулись силовой электрической части. В декабре 1973 г. НЭВЗ
изготовил первый серийный электровоз ВЛ80Р № 1500. На нем были установлены
преобразователи типа ВИП-2200М с тиристорами Т2-320 14-го и 15-го классов.
19
Все электровозы серии ВЛ80Р выпуска 1973-1975 гг. эксплуатировались на
участках с тяжелым профилям пути Красноярской, Восточно-Сибирской и Дальневосточной железных дорог, а так же в депо Батайск Северо-Кавказской железной дороги. После 1976 г. электровозы были направлены для работы в депо Боготол Красноярской железной дороги [113].
Применение тиристорной силовой базы на электровозах переменного тока многократной усложнило систему управления преобразователями. Общее количество элементов в таких электровозах стало на один -два порядка больше, чем на ранее выпускавшихся сериях электровозов. Так например, только в логической части аппаратуры
управления первых электровозов серии ВЛ80Р насчитывается свыше 1500 элементов.
Кроме того, в выходных каскадах ВИП было установлено около 4000 электронных
элементов. Выход из строя даже одного из которых приводил к ненормальной работе
преобразователей электровоза, (броски тока, образование токов короткого замыкания,
опрокидывание инвертора) особенно в режиме рекуперативного торможения [57].
Электровоз ВЛ60КР. В 1971-1973 гг. намечалась модернизация эксплуатируемого парка шестисотых электровозов переменного тока серии ВЛ60К путём
перевода их на тиристорные ВИП для работы в рекуперативном режиме. После
такой модернизации электровозы получили серию ВЛ60КР [25, 26, 70, 72]. В
первую очередь предлагалось модернизировать партию рекуперирующих электровозов ВЛ60Р с игнитронными преобразователями, работающих на участках
Тайшет-Лена Восточно-Сибирской железной дороги и Смоляниново-Партизанск,
Дальневосточной железной дороги. Опытный образец такого электровоза начал
испытываться на экспериментальном кольце центрального научно-исследовательского институт информации, технико-экономических исследований и пропаганды
железнодорожного транспорта МПС СССР (ЦНИИ МПС) с августа 1974 г.
Принципиальная силовая схема электровоза серии ВЛ60КР сочетает силовые схемы электровозов серий ВЛ60Р и ВЛ60КУ, при этом максимально использовано оборудование этих электровозов. В силовой схеме электровоза серии
ВЛ60КР, также как на электровозах серии ВЛ60КУ, был применен принцип без
токового переключения выводов вторичной обмотки тягового трансформатора
упрощенным электровозным контроллером главным (ЭКГ) с контакторами без дугогашения. Благодаря применению ВИП осуществлялось четырехзонное плавное
20
регулирование напряжения тяговых электродвигателей. В преобразователях
ВИП-2500 использовались таблеточные тиристоры Т2-300 15-го класса, по 144 тиристора в каждом преобразователе [15, 44, 45]. Принципиальная силовая схема
электровоза серии ВЛ60КР представлена на рисунке 1.4.
XA1
XA2
ТТ
13
10
ТП
1
21
2
11
3
8
VS1
VS5
CР1
4
9
VS3
5
6
7
VS9
VS10
14
15
VS2
VS2'
VS4
VS6'
VS6
VS7
R7
VS8
R8
19
16
20
17
18
12
VS1'
VS4'
VS3'
VS5'
VS8'
VS7'
CР2
12
11
12
11
12
11
R1
ОВ1
22
R2
Я1
ОВ2
11
R3
Я2
ОВ3
Я3
11
12
12
R4
ОВ4
R5
Я4
ОВ5
R6
Я5
ОВ6
Я6
XA1- XA2 – токоприемники; ТТ – тяговый трансформатор; ВВ1 – ВВ2 – панели
плеч выпрямителя возбуждения; ТП – тормозные переключатели; VS1-8, VS1'-8' –
тиристорные плечи; СР1-СР2 – сглаживающие реакторы; ОВ1-ОВ6 – обмотки возбуждения; Я1-Я6 – двигатели электровоза; 1-10, 13-22 – контакторы главного контроллера; 11-12 – переключатели ВИП.
Рисунок 1.4 – Принципиальная электрическая силовая схема электровоза
серии ВЛ60КР
Электровоз ВЛ85. В мае 1983 г. НЭВЗ построил самый мощный на то время
в
мире
магистральный
электровоз
переменного
тока
серии
ВЛ85.
Двухсекционный двеннадцатиосный электровоз каждая секция которого, имеет
комплект оборудования, обеспечивающий работу как одного электровоза, так и
сплотки по системе многих единиц (СМЕ) в режимах тяги и рекуперативного
торможения с управлением одной локомотивной бригадой из любой кабины
управления головной или хвостовой секции. На электровозе устанавливались
21
шесть ВИП-4000, по схеме и алгоритмам управления подобны ВИП-2200М
электровоза серии ВЛ80Р [28, 34, 86, 88, 90, 93]. На ВИП-4000 применены более
мощные тиристоры типа Т353-800 28 класса и изменена схема питания обмоток
возбуждения в режиме рекуперативного торможения, рисунок 1.5.
XA1
ТТ
ВУВ
VS9
ВИП
VS1
VS2
VS3
VS4
VS5
VS6
VS7
VS8
VS10
К1
СР
Я1
Цепи возбуждения ТЭД 2-ой секции
К2
Я2
ТП
ТП
ОВ7
ТП
R1
ОВ1
ОВ12
R2
ТП
ТП
ТП
ТП
ОВ2
ТП
ТП
ТП
к ОВ3-ОВ6
2-ой и 3-ей тележек
XA1 – токоприемник; ТТ – тяговый трансформатор; VS1-VS10 – тиристоры; СР –
сглаживающий реактор; Я1-Я2 – якорные обмотки ТЭД; ОВ1-ОВ12 – обмотки
возбуждения ТЭД; R1-R2 – балластные резисторы; ТП – тормозные переключатели;
К1-К2 – линейные контакторы.
Рисунок 1.5 – Принципиальная электрическая силовая схема одной тележки
электровоза серии ВЛ85 в режиме рекуперативного торможения
Управление преобразователем на электровозе осуществляется с помощью
блока БУВИП-133 на микроэлектронике, что многократно сократило количество
электронных компонентов в системе управления преобразователем и повысило
22
надежность его работы. Также на данном электровозе впервые реализована система
автоматического управления движением [6, 19, 59, 66]. Она обеспечивает разгон
электровоза до заданной скорости с заданным током, последующее поддержание
этой скорости. В режиме рекуперативного торможения система осуществляет
предварительное подтормаживание, поддержание заданной скорости при движении
на спусках и заданного усилия при остановочном торможении. Выполняются также
функции ограничения режимов перегрузки и защиты оборудования в аварийных
режимах работы за счет снижения нагрузки на преобразователях электровоза.
Несмотря на применение более совершенной системы управления
преобразователями, в режиме рекуперативного торможения сохранялась проблема,
связанная с разбором схемы и потерей тормозного эффекта электровоза.
Электровоз ВЛ65. Электровоз ВЛ65 выпускался с 1992 по 1999 гг. на
НЭВЗ. Создавался как универсальный (грузопассажирский) электровоз на замену устаревшим электровозам ВЛ60в/и [72]. На электровозе установлено два
преобразователя ВИП-5600, каждый из которых связан с одной из двух групп
тяговых обмоток тягового трансформатора электровоза и обеспечивает питание
трёх параллельно соединённых тяговых электродвигателей. Впервые в отечественном электровозостроении на электровозе серии ВЛ65 № 021, была применена микропроцессорная система управления (МСУЭ). Разработанная специалистами ПКП «ИРИС» по техническому заданию ОАО ВЭлНИИ предназначенная
для управления электроприводом и электрическими устройствами электровозов
ВЛ65, а в впоследствии и пассажирских электровозов серии ЭП1в/и [11, 92, 117].
Принципиальным отличием силовой электрической схемы ВЛ65 является
наличие панелей шунтирующих тиристоров, подключенных параллельно
обмоткам
возбуждения
ТЭД.
Они
предназначены
для
индивидуальной
подстройки тока якоря каждого ТЭД электровоза в режиме рекуперативного
торможения. Также для обеспечения устойчивой работы преобразователя в
режиме инвертора, в цепь якоря каждого из ТЭД был установлен блок диодов
«диодная пробка». Данное техническое решение исключило образование
«контурных токов» в цепи якорей ТЭД, работающих в режиме генераторов,
включенных параллельно и запитываемых от одного ВИП. Принципиальная
электрическая схема электровоза серии ВЛ65 в расчете на одну тележку
представлена на рисунке 1.6.
23
XA1
XA2
ТТ
ВУВ
VS9
ВИП
VS1
VS2
VS3
VS4
VS5
VS6
VS7
VS8
VS10
Я1
Я3
Я2
ТП
ТП
ТП
ОВ1
ТП
ТП
ТП
R2
R1
VS11
СР
ТП
VS12
ОВ2
VD1
ТП
R3
ТП
VS13
ОВ3
VD2
ТП
VD3
ТП
ТП
к ОВ4-ОВ6
2-ой тележки
XA1- XA2 – токоприемники; ТТ – тяговый трансформатор; VS1-VS8 – тиристоры
ВИП; VS9-VS10 – тиристоры ВУВ; СР – сглаживающий реактор; Я1-Я3 – якорные
обмотки ТЭД; ОВ1-ОВ3 – обмотки возбуждения ТЭД; R1-R3 – балластные резисторы; ТП – тормозные переключатели; VS11-VS13 – шунтирующие тиристоры;
VD1-VD3 – диоды «диодные пробки».
Рисунок 1.6 – Принципиальная электрическая силовая схема одной тележки
электровоза серии ВЛ65 в режиме рекуперативного торможения
Применение современной микропроцессорной системы управления позволило
значительно облегчить управление электровозом в режимах тяги и рекуперативного
торможения, сократить время для определения неисправностей в силовых и цепях
управления преобразователями электровоза, контролировать работу электровоза при
его эксплуатации [6, 27, 37, 66, 62]. Однако алгоритмы работы и принципы управления
тяговым приводом электровоза серии ВЛ65 остались прежние как на ВЛ80Р и ВЛ85.
За счет применения микропроцессорной системы управления появилась возможность
программной защиты тяговых преобразователей от аварийных режимов, которая
заключалась в снятии управляющий импульсов с тиристорных плеч преобразователя
в случае его нештатной работы. Такое решение увеличило быстродействие защиты, но
привело к резкой потере тормозного эффекта электровоза.
24
Электровозы серии Ермак. В начале 2000-х годов для обновления
грузового и пассажирского парка электровозов под руководством ВЭлНИИ
началось проектирование нового электровоза переменного тока и в начале 2004 г.
НЭВЗ приступил к постройке опытного образца. Первые два электровоза 2ЭС5К
были построены в конце 2004 и 2005 гг., а затем с 2006 г. началось их массовое
серийное производство. В качестве тяговых преобразователей на электровозе
используются ВИП-4000М, работающие на тех же принципах и алгоритмах
управления, что и преобразователи, установленные на предыдущих сериях
электровозов переменного тока ВЛ80Р, ВЛ85, ВЛ65, ЭП1в/и [62, 64, 93, 116,117].
Принципиальная электрическая силовая схема электровоза серии 2ЭС5К в расчете
на одну тележку представлена на рисунке 1.7.
XA1
ТТ
ВИП
ВУВ
VS9
VS1
VS2
VS3
VS4
VS5
VS6
VS7
VS8
СР1
СР2
VS10
Я1
Я2
ТП
VS11
ОВ1
ТП
ТП
VD1
ТП
VS12
ТП
ОВ2
ТП
ТП
VD2
ТП
ТП
R1
R2
к ОВ3-ОВ4
2-ой тележки
XA1 – токоприемник; ТТ – тяговый трансформатор; VS1-VS8 – тиристоры ВИП;
VS9-VS10 – тиристоры ВУВ; СР1-СР2 – сглаживающие реакторы; Я1-Я2 – якорные
обмотки ТЭД; ОВ1-ОВ2 – обмотки возбуждения ТЭД; R1-R2 – балластные
резисторы; ТП – тормозные переключатели; VS11-VS12 – шунтирующие
тиристоры; VD1-VD2 – диоды «диодные пробки».
Рисунок 1.7 – Принципиальная электрическая силовая схема одной тележки
электровоза серии 2ЭС5К в режиме рекуперативного торможения
25
На электровозе применена микропроцессорная система управления движения (МСУД), обеспечивающая вождение поездов в автоматическом режиме с поддержанием заданных скорости и силы тяги (в рекуперации – силы торможения).
Всего по состоянию на начало 2019 г. выпущено 444 электровоза данной серии.
С целью увеличения мощности двухсекционного электровоза серии 2ЭС5К в
1,5 раза и для вождение тяжеловесных и длинносоставных грузовых поездов для
участков с горным профилем пути, была разработана бустерная «промежуточная»
секция без кабины управления со сквозным проходом. В 2006 г. НЭВЗ две опытные
бустерные секции и на время испытаний они входили в состав электровозов 2ЭС5К
№ 001 и № 011. В 2007 г. был начат серийный выпуск электровозов серии 3ЭС5К [79].
В 2013 г. по заказу компании ОАО «РЖД» был построен опытный электровоз
3ЭС5К № 434, главным отличием которого является реализация поосного регулирования силы тяги.
Электровоз 3ЭС5К № 434 имеет целый ряд технических улучшений:
- с целью увеличения сцепного веса электровоза масса каждой секции достигает 98 тонн с полным объемом запаса песка;
- применение новых выпрямительно-инверторные установок и усовершенствованной микропроцессорной системой управления (МСУД-015) позволяет поосно (индивидуально каждым тяговых двигателем) управлять силой тяги, что значительно
расширяет область тяговых и тормозных характеристик электровоза;
- кроме режима последовательного возбуждения тяговых электродвигателей,
на электровозе появился режим независимого возбуждения, это позволило исключить потележечное и синхронное боксования колесных пар электровоза.
В качестве главных тяговых преобразователей на электровозе установлены
выпрямительно-инверторные установки (ВИУ) типа ВИУ-4000-2М предназначенные для реализации поосного регулирования тяги посредством преобразования
однофазного переменного тока частоты 50 Гц в постоянный (пульсирующий) ток
с обеспечением плавного индивидуального регулирования выпрямленных напряжений питания двух тяговых двигателей и для преобразования постоянного тока
26
в однофазный переменный частотой 50 Гц в режиме рекуперативного торможения. Каждый канал ВИУ-4000-2М получает сигналы управления от отдельного канала МСУД-015 [79, 116]. ВИУ реализованы на тиристорной базе и работают по тем
же принципам и алгоритмам управления, что и электровозы серии ВЛ80Р, выпуск которых был начат в 1973 г [5, 36, 66, 64, 65].
Принципиальная электрическая силовая схема электровоза серии 3ЭС5К № 434
в расчете на одну тележку представлена на рисунке 1.8
XA1
ТТ
ВУВ
VS9
VS10
ВИУ
VS1
VS2
VS1'
VS2'
VS3
VS4
VS3'
VS4'
VS5
VS6
VS5'
VS6'
VS7
VS8
VS7'
VS8'
СР1
СР2
Я1
Я2
ТП
VS11
ОВ1
ТП
VD1
ТП
ТП
R1
ТП
ТП
VS12
ОВ2
ТП
VD2
ТП
ТП
R2
к ОВ3-ОВ4
2-ой тележки
ХА1 – токоприемник; ТТ – тяговый трансформатор; VS1-VS8, VS1'-VS8' – тиристорные плечи ВИУ; VS9-VS10 – тиристорные плечи ВУВ; СР1-СР2 – сглаживающие реакторы; Я1-Я2 – тяговый электродвигатель; ОВ1-ОВ2 – обмотка возбуждения; ТП – тормозные переключатели; VS11-VS12 – шунтирующие тиристоры;
VD1-VD2 – диоды «диодные пробки»
Рисунок 1.8 – Принципиальная электрическая силовая схема одной тележки
электровоза серии 3ЭС5К № 434 в режиме рекуперативного торможения
27
На сегодняшний день электровоз с поосным регулирование силы тяги и
коллекторным тяговым приводом серии 3ЭС5К, является перспективным и
приспособленным отечественным электровозом переменного тока, для ведения
тяжеловесных поездов на участках со сложным профилем пути. Однако,
сохранились
некоторые
преобразователями
проблемы
электровоза,
связанные
особенно
в
с
системой
режиме
управления
рекуперативного
торможения, в основном это образование бросков тока с последующим разбором
схемы электрического торможения.
1.2 Анализ способов и устройств защиты тиристорных преобразователей
электроподвижного состава переменного тока
По результатам проведенного анализа, выявлен ряд отечественных и
зарубежных способов и устройств для защиты тиристорных преобразователей [2, 5,
8, 12, 14, 23, 29, 31, 36, 41, 44, 45, 46, 48, 52, 64, 67, 68, 91, 94, 112].
1.2.1
Устройство
защиты
тиристорных
преобразователей
электроподвижного состава
Предлагаемое техническое решение основано на применении задающего генератора и пересчетного устройства, выполненных в виде двух идентичных каналов
для анодной и катодной группы тиристоров и содержащих датчики обратных напряжений тиристоров, схемы формирования импульсов и выходную ячейку «И» [5].
В каждом канале предложенного устройства выходы датчиков и пересчетного устройства через схемы формирования подключены ко входам схемы совпадения, выход которой соединен с потенциальным входом триггера, включенного
в данный канал, и со счетным входом триггера, включенного в другой канал. Выходы триггеров подключены ко входам упомянутой ячейки «И» [5].
На рисунке 1.9 представлена структурная схема устройства защиты, где 1 –
датчик защиты; 2 – формирователи импульсов; 3 – логические схемы «И»; 4, 5 –
28
логические схемы «ИЛИ-НЕ», 6-8 – формирователи импульсов пересеченного
устройства (ячейки 6 и 7 представляют собой инверторы, а ячейка 8 –собственно
формирователь), 9 – логические схемы «Память».
Канал обработки импульсов анодной группы
С анодной группы тиристоров
1
2
Импульсы пересчетного
устройства со 1, 3, 5 каналов
4
6
3
8
9
7
5
Импульсы пересчетного
устройства со 2, 4, 7 каналов
4
6
С катодной группы тиристоров
1
2
8
7
3
9
Канал обработки импульсов катодной группы
Рисунок 1.9 – Структурная схема устройства защиты тиристорного
преобразователя электроподвижного состава
Импульсы с датчиков защиты, установленных в катодной и анодной группах
тиристоров инвертора, образуют две синхронные, сдвинутые на 180 эл. град. последовательности импульсов. Импульсы пересчетного устройства также выделяются в две синхронные, сдвинутые на 180 эл. град. Последовательности из сформированных импульсов, каждая из которых сравнивается по логической схеме
«И» с той последовательностью импульсов от датчиков защиты, которая совпадает с ней по времени. Результирующие импульсы с выхода элементов «И» преобразуются триггерами в прямоугольные импульсы с нулевым потенциалом, длительность которых на 120 эл. град. превышает длительность сформированных импульсов последовательностей импульсов пересчетного устройства. Импульсы с
выхода триггеров подаются на выходную логическую схему «И».
Импульсы обратного напряжения на тиристорах анодной (катодной) группы
подаются на датчик защиты 1, а с выхода датчика – на формирователь 2. Сформи-
29
рованные прямоугольные импульсы с выхода формирователя 2 поступают на первый вход элемента 3. На выходы элемента 4 поступают импульсы пересчетного
устройства с 1-го (2-го), 3-го (4-го) и 5-го (6-го) каналов. Просуммированные элементом 4 импульсы пересчетного устройства инвертируются элементом 6 и подаются на вход формирователя 8. Сформированные прямоугольные импульсы длительностью порядка 100 мксек с выхода формирователя 8 поступают на вход элемента 7, с выхода которого импульсы подаются на второй вход элемента 3 и на
счетный вход элемента 9. С выхода 3 импульсы поступают на соответствующий
потенциальный вход элемента 9 в исходное состояние осуществляется отрицательными импульсами, которые поступают на него счетный вход с элемента 7 канала обработки импульсов катодной группы. Момент возвращения элемента 9 в
исходное состояние совпадает с задним фронтом этих импульсов.
При нормальной работе инвертора на выходе элемента 5 имеется нулевой
потенциал. В случае исчезновения импульсов с какого-либо датчика защиты, что
означает срыв коммутации в инверторе, соответствующий элемент 9 не переключится и на выходе элемента 5 появится отрицательный потенциал, который является сигналом отключения инвертора.
Положительным эффектом является быстродействие системы защиты тиристорного преобразователя. К недостаткам предлагаемого устройства относится, то
что при не значительной задержке коммутации тиристоров одного из плеч инвертора возможно ложное срабатывание устройства защиты, что является не допустимым для применения на электроподвижном составе, особенно при рекуперативном торможении.
1.2.2 Устройство для токовой защиты электровоза в режиме рекуперативного торможения
Целью изобретения является повышение надежности и эффективности токовой защиты силовых цепей электровоза переменного тока, путем разделения
функций защиты от сверхтоков и защиты от токов перегрузки причинами которых
30
могут являться: бросок напряжения в питающей сети, исчезновение напряжений
на выводах первичной обмотки тягового трансформатора, значительное снижение
напряжения в питающей сети, пробой плеча выпрямительно-инверторного преобразователя электровоза, срыв работы системы управления выпрямительно-инверторным преобразователем электровоза [29].
Технический результат изобретения достигается благодаря установки импульсного трансформатора, который снабжен основной и дополнительной обмотками смещения, основной и дополнительной обмотками управления, а также выходной обмоткой. Выводы каждой обмотки смещения подключены к определенным электрическим силовым цепям электровоза, за счет этого разделяются функции защиты от сверхтоков и токов перегрузки. В случае возникновения аварийного режима в одной из силовых цепей электровоза, с выходной обмотки импульсного трансформатора поступает сигнал на исполнительный орган защиты, что
приводит к отключению электровоза от контактной сети главным выключателем.
К недостаткам устройства следует отнести: сложность технической реализации, что влияет на массогабаритные показатели устройства, а также снижает
надежности работы электровоза в целом.
1.2.3 Способ
и
устройство
защиты
преобразователя
электровоза
Технический результат заключается в предотвращении образования токов
короткого замыкания в силовой цепи преобразователя электровоза. Это достигается за счет блокировании управляющих импульсов в случае превышения величины напряжения при работе тиристора предельного значения [31].
В преобразователе, работающем по известной мостовой схемы на базе тиристоров, полупроводниковые приборы могут отказать в результате короткого замыкания или задержки (прерывания) подачи управляющих сигналов, что отслеживается и отображается. Обнаружение отказа производится за счёт функции от среднего напряжения цепи выпрямленного тока, контроль за которым осуществляется
31
датчиком постоянного напряжения и которое представляет собой один или более
последовательных, смещённых во времени бросков напряжения при открытии тиристора (в момент коммутации тиристора). В цепи обнаружения сигнала на входной стороне имеется дифференцирующий элемент – аналоговый или цифровой
ключ с заранее выставляемым предельным значением, который отслеживает, превышает ли напряжения данное предельное значение. Если «да» (S18 = «1»), цепь
оценки далее отслеживает, предельное значение напряжения, при задержке открытия тиристора в 60 мкс. Если «нет», формируется сигнал отказа, который может
служить для отображения и/или записи факта отказа. Цепь оценки дополнительно
может служить для отображения времени проводящего состояния тиристоров преобразователя. Эквивалентные сигналы открытия остальных тиристоров и/или сигнал блокировки (S54) для предотвращения их пуска могут быть сформированы в
виде функции от времени проводящего состояния тиристоров при превышении
предельного значения.
Основным недостатком является схемотехническая сложность системы, для
реализации которой необходимо установить большое количество блоков и датчиков. К тому же эта система не решает задачу сохранения работоспособного состояния преобразователя электровоза, то есть приводит к разбору схемы рекуперативного торможения.
1.2.4
Устройство для функционально диагностирования и защиты
тиристорного преобразователя электровоза
Техническим результатом изобретения является расширение функциональных возможностей путем определения неисправных каналов системы управления,
защиты преобразователя от токов перегрузки и короткого замыкания в нагрузке, а
также исключения ложного срабатывания защиты при одновременной коммутации двух тиристоров [45].
32
На рисунке 1.10 представлено устройство для функционально диагностирования и защиты тиристорного преобразователя. Устройство содержит: датчики
тока 1-8, тиристоры 9-16; 17-20 элементы развязки; элемент «Запрет» 22; триггеры
21, 23, 27, 29; элемент «И» 24, 26, 28; элемент «Задержки» 25.
Дополнительные каналы диагностики
17
16
15
Нагрузка
5
14
7
1
22
Пробой
18
27
26
Не снят импульс управления
19
29
28
Нет импульса управления
21
24
Обрыв
25
8
~ u1
~ u2
~ u3
~ um
9
23
13
10
11
12
2
3
4
6
20
Дополнительные каналы диагностики
Рисунок 1.10 – Устройство для функционально диагностирования и
защиты тиристорного преобразователя электровоза
Применение элемента «Задержки» 25 позволяет осуществлять защиту от токов перегрузки и короткого замыкания в нагрузке, так как с ростом нагрузки увеличивается угол коммутации тиристоров (продолжительность их одновременной
проводимости) вследствие наличия индуктивного сопротивления обмоток тягового трансформатора. Время задержки рассчитывается по формуле

t
,

2I d X ф


arccos(cos


) ,
где
EЛТ
(1.1)
(1.2)
γ – угол коммутации тиристоров;
α – угол управления открытием тиристоров;
Id – значение тока нагрузки;
EЛТ – амплитудное значение напряжения питающего трансформатора;
XФ – индуктивное сопротивление обмоток трансформатора;
ω – круговая частота питающего напряжения.
33
При превышении Id больше допустимого значения (при перегрузке и
коротком замыкании в нагрузке) сработает защита и отключит преобразователь,
ибо время коммутации превысит время выдержки элемента «Задержки» 25. При
этом на инверторном входе элемента «Запрет» 22 логический нуль появиться
раньше, чем на прямом входе, так как второй триггер 23 установится в нулевое
состояние раньше, чем на прямом входе появиться нулевой сигнал от
закончившего работу датчика тока 6. В результате сработает защита [45].
Наличие четырех выходов с каждого канала устройства позволяет путем выведения их на блок индикации определить характер и место неисправности отключения этих же сигналов. При перегрузке и коротком замыкании в нагрузке сигнал
произойдет по каналу «Пробой» (рисунок 1.10).
Недостатками данного устройства являются: схемотехническая сложность
системы, трудность расположения его на электровозе, после срабатывания защиты
для определения вида неисправности – пробой тиристора или перегрузка (короткое замыкание в нагрузке) необходимо произвести повторное включение преобразователя и обратить внимание на изменение индикации, это подвергнет очередному воздействию силы тока на силовую цепь преобразователя, многократно превышающую рабочие значения. В случае применения данного решения на электровозе в режиме рекуперативного торможения, срабатывании защиты приведет к потере тормозного эффекта.
1.2.5 Устройство защиты полупроводникового преобразователя
Техническим результатом полезной модели является защита от возникновения короткозамкнутых контуров в полупроводниковом преобразователе посредством слежения за моментом выдачи импульсов управления на управляющие
электроды полупроводниковых ключей [94].
На рисунке 1.13 представлена структурная схема предлагаемого устройства
защиты полупроводникового преобразователя. Предлагаемое устройство защиты
содержит датчик напряжения (ДН) 1, датчик тока (ДТ) 2, выходы которых
подключены к микроконтроллеру (М) 3, в котором содержится блок прерывания по
34
напряжению (INTU) 4 и блок прерывания по току (INTI) 5, каждый из которых
подключен к таймеру фазы по цепи напряжения (TU) 6 и таймеру фазы по цепи тока
(TI) 7 соответственно, выходы которых подсоединены к блоку сравнения (К) 8,
подключенному
к
блоку
разрешения
выдачи
импульса
управления
полупроводниковых ключей (ENB) 9.
Импульс управления полупроводникового ключа
3
М
ДН
1
INTu
4
Tu
6
8
K
ДТ
2
INTi
5
Ti
ENB
9
7
Рисунок 1.13 – Структурная схема предлагаемого устройства защиты
полупроводникового преобразователя электровоза
Устройство защиты работает следующим образом. С датчика напряжения 1
подается сигнал в блок прерывания по напряжению 4 микроконтроллера 3, где
определяется момент перехода напряжения нагрузки преобразователя через ноль
напряжения, тем самым провоцируется создание одного события, равное такту
микроконтроллера, в нашем случае это фаза напряжения нагрузки, и начинает отсчитываться фаза напряжения нагрузки в таймере фазы по цепи напряжения 6,
осуществляя тем самым счет событий, определяющих фазу напряжения нагрузки,
ϕn+1 = ϕn + Δ,
(1.3)
где ϕn+1 – значение фазы напряжения нагрузки на последующем такте работы
микроконтроллера;
ϕn – значение фазы напряжения нагрузки на предыдущем такте работы микроконтроллера;
Δ – время работы одного такта микроконтроллера.
С датчика тока 2 поступает сигнал в блок прерывания по току 5, где
определяется переход тока нагрузки через ноль тока, тем самым провоцируется
создание одного события, равное такту микроконтроллера, в нашем случае это
35
фаза импульса управления, и начинает отсчитываться фаза импульса управления
между нулем тока нагрузки преобразователя и началом импульса управления
полупроводникового ключа в таймере фазы по цепи тока 7, осуществляя тем
самым счет событий, определяющих фазу импульса управления по формуле
tϕИУ(n+1) = ϕn+1 + tϕИУ(n) + Δ,
(1.4)
где tϕИУ(n+1) – значение фазы импульса управления на последующем такте работы
микроконтроллера;
tϕИУ(n) – значение фазы импульса управления на предыдущем такте работы
микроконтроллера.
Значения фазы напряжения нагрузки и фазы импульса управления сравниваются в компараторе 8, и результат обрабатывается в блоке разрешения выдачи
импульсов 9. Если tϕИУ(n+1) − ϕn+1 = 0, то выставляется запрет на выдачу импульсов
управления полупроводниковых ключей в этот момент времени и t ϕИУ(n+1) принимает значение уставки ϕn+1 + const, если tϕИУ(n+1) − ϕn+1 ≥ const2, то выдается разрешение на выдачу импульсов управления, const – постоянная времени, задаваемая оператором микроконтроллера; const2 – минимальное значение постоянной времени,
задаваемой оператором микроконтроллера.
Недостатком является то что, это устройство защиты срабатывает единовременно в случае аварийного режима, блокируя сигналы управления тиристоров, в
следствие чего рабочий режим преобразователя не восстанавливается.
1.3 Максимальная токовая защита тяговых двигателей в режиме
рекуперативного торможения
Начиная с 2012 г. Демиховский машиностроительный завод (ДМЗ) выпускает
электропоезда переменного тока серий ЭД9Э, ЭП3Д с выпрямительно-инверторным
преобразователей типа ВИП-1000 на базе силовых тиристоров, что позволило
реализовать плавное зонно-фазовое регулирование напряжения на коллекторах
тяговых электродвигателей аналогично работе систем управления современных
отечественных электровозов 2(3,4)ЭС5К, ЭП1М/П [58, 69, 116, 117]. На
36
вышеуказанных сериях электропоездов для защиты ТЭД от токов короткого
замыкания в
режиме рекуперативного торможения впервые реализована
комбинированная электронная система
защита
с
применением контура
размагничивания. На рисунке 1.8 представлена упрощённая электрическая схема
контуров размагничивания ТЭД при аварии в режиме рекуперативного торможения.
Система токовой защиты работает следующим образом (рисунок 1.14), сигнал
от датчика тока Т1, подаётся в блок управления контактором защиты (БУКЗ). При
аварийном увеличении якорного тока до значения более 650 А БУКЗ выдаёт импульсный сигнал на кратковременное питание размагничивающей катушки КЗТ1, что вызовет ослабление магнитного потока удерживающих катушек в магнитной цепи удержания якоря контактора и последующее его отключение. Так как главные контакты
КЗТ1 зашунтированы резистором R12, то при размыкании этих контактов на резисторе образуется падение напряжения от протекающего якорного тока тягового двигателя с полярностью, вызывающей открытие защитного тиристора VS5 в блоке тиристоров БТ (БТ.02 У2). Это приводит к закорачиванию тиристорного моста
VS1...VS4 и закрытию тиристоров. Образуется контур протекания тока размагничивания через обмотки возбуждения тяговых двигателей ОВ1-ОВ2, направленный противоположно току возбуждения, что способствует быстрому спаданию якорного
тока. Одновременно блок-контактами КЗТ1 снимается сигнал с входа блока питания
системы управления (БПСУ) «Разрешение работы», приводящий к прекращению
формирования управляющих импульсов для тиристоров ВИП и блоков тиристоров
БТ [119, 120].
T1
Я1
ОВ1
Блок тиристоров БТ.02 У2
ОВ2 QS8
Я2
IРАЗМАГН.
КЗТ1
R11
VS3
VS1
VS4
VS2
VS5
К ТТ
К ВИП
R12
R10
VZ1
A9
Рисунок 1.14 – Упрощённая схема контуров размагничивания ТЭД при
аварии в рекуперации
37
Достоинством представленной выше системы защиты является наличие размагничивающего контура, благодаря которому происходит интенсивное снижение
аварийных токов в цепи ТЭД, что несомненно повышает эффективность защиты.
К недостаткам следует отнести то, что после выполнения защитной функции
режим рекуперативного торможения электропоезда не сохраняется, электропоезд теряет тормозной эффект пока не будут задействованы пневматические тормоза. Отсутствие резервного тормоза на электроподвижном составе в значительной степени
снижает безопасность движения и является недопустимым [1].
1.4 Постановка цели и задач исследования
Целью диссертационной работы является повышение работоспособности
ВИП электровоза в режиме рекуперативного торможения при пропусках импульсов управления на их тиристорные плечи.
Для достижения поставленной цели в диссертационной работе необходимо
решить следующие задачи:
- провести анализ силовых схем электровозов переменного тока и технических
решений направленных на защиту тяговых преобразователей электровозов от аварийных режимов работы;
- провести аналитическое исследование электромагнитных процессов ВИП
электровоза, как при штатной работе, так и при аварийной, вызванной пропусками
импульсов управления;
- разработать способ повышения работоспособности ВИП электровоза в режиме рекуперативного торможения при пропусках импульсов управления на их
тиристорные плечи;
- разработать способ определения тиристорных плеч ВИП электровоза переменного тока не принявших токовую нагрузку;
- уточнить математическую модель электровоза в режиме рекуперативного
торможения с предлагаемым способом повышения работоспособности ВИП при
пропусках импульсов управления;
- провести сравнительное исследование результатов математического моделирования работы электровоза в режиме рекуперативного торможения с типовым
и предлагаемым алгоритмами управления ВИП при пропусках импульсов управления.
38
2 ИССЛЕДОВАНИЕ
ЭЛЕКТРОМАГНИТНЫХ
ПРОЦЕССОВ
ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНЫХ ПРЕОБРАЗОВАТЕЛЕЙ ЭЛЕКТРОВОЗА
ПЕРЕМЕННОГО ТОКА В РЕЖИМЕ РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ
2.1 Исследование электромагнитных процессов при штатной работе ВИП
в режиме рекуперативного торможения
Для перехода из режима тяги в режим рекуперативного торможения необходимо перевести тяговые двигатели электровоза в генераторный режим работы.
Для этого собирают схему с независимым возбуждением двигателей. Обмотки
возбуждения, соединенные последовательно, подключают к независимому источнику питания – выпрямительной установке возбуждения (ВУВ). Направление протекание тока в обмотках возбуждения направлено встречно протеканию тока в
якорных обмотках тяговых электродвигателей работающих в режиме генераторов,
за счет этого образуется тормозной эффект электровоза. В режиме рекуперативного торможения конфигурация силовой схемы ВИП остается неизменной.
Последовательность работы (открытия) тиристорных плеч ВИП в инверторном режиме происходит согласно алгоритму работы системы управления преобразователями электровоза (БУВИП), представленном в таблице 2.1. Алгоритм управления инвертором электровоза содержит два управляющих импульса: β – угол опережения открытия тиристоров (его значение автоматически регулируется в зависимости от тока рекуперации), αр – регулируемый фазовый угол открытия тиристоров.
БУВИП формирует и распределяет по плечам всех четырёх преобразователей электровоза управляющие импульсы, приводящие в действие систему формирования
импульсов (СФИ ВИП) каждого преобразователя. СФИ ВИП в свою очередь формирует и распределяет по тиристорам плеч преобразователя управляющие импульсы необходимых параметров и с определенной фазой [13, 20, 32, 33, 43, 66, 86,
88, 90, 111, 114, 116, 117, 119].
39
Таблица 2.1 – Алгоритм управления ВИП электровоза в инверторном режиме
2.1.1 Исследование электромагнитных процессов при штатной работе ВИП в
режиме рекуперативного торможения на примере четвертой зоны регулирования
Регулирование напряжения инвертора электровоза в режиме рекуперативного
торможения начинается с четвертой (IV) зоны, потребителем электрической энергии
становиться вторичная обмотка тягового трансформатора электровоза. Для процесса
инвертирования необходимо, чтобы напряжение и ток, протекающий через обмотки
тягового трансформатора, имели противоположные направления. При таких условиях реализуется передача электрической энергии в контактную сеть [86, 88, 90].
Для примера рассмотрим IV регулирования, так как на этой зоне реализуется
высокая скорость движения электровоза, соответственно в его силовых цепях протекают максимальные токи, вырабатываемые тяговыми электродвигателями работающих в режиме генераторов.
На рисунке 2.3 представлены диаграммы напряжения контактной сети (u1) и
ВИП (uВИП), токи контактной сети (i1) и ВИП (iВИП), токи, протекающие по плечам
преобразователя iVSn где n – номер плеча, а также напряжение с датчиков угла коммутации (uγ). Электродвижущая сила (ЭДС) тягового трансформатора (Е), условно
изображена сплошной стрелкой для прямой (E > 0) и штриховой линией для противоположенной (E < 0) полярности. Первый полупериод электромагнитных процессов, протекающих в инверторе электровоза разбивается на временные интервалы 01-2-3-4-5-π, а второй – на интервалы π-6-7-8-9-10-2π.
40
Рисунок 2.3 – Диаграмма тока и напряжения контактной сети,
выпрямленного напряжения и тока ВИП, токов плеч ВИП и сигналов датчиков
угла коммутации при работе ВИП на примере IV зоны регулирования
41
Для каждого временного интервала представлена мгновенная схема замещения, для которой определяются контуры протекания токов, задаются направления
протекания тока в ветвях преобразователя, находятся общие для контуров узлы и
составляются уравнения согласно второму закону Кирхгофа [15].
При расчетах, индуктивность цепи генерируемого тока заменяется эквивалентной индуктивностью, рассчитываемой по формуле
L
ВИПэ
где
=
1
(𝐿
2
ср
+L +L
я
дп
(2.1)
+ L ),
ко
Lср – индуктивность сглаживающего реактора;
Lя – индуктивность якорной обмотки;
Lдп – индуктивность добавочных полюсов;
Lко – индуктивность компенсационной обмотки.
Активное сопротивление цепи выпрямленного тока заменяется эквивалент-
ным активным сопротивлением, которое рассчитывается по формуле
r
где
ВИПэ
=
1
2
(r + r + r
ср
я
дп
+r
ко
+r
ббр
),
(2.2)
rСР – активное сопротивление сглаживающего реактора;
rя – активное сопротивление обмотки якоря;
rдп – активное сопротивление добавочных полюсов;
rко – активное сопротивление компенсационной обмотки;
rББР – активное сопротивление блока балластных резисторов.
Для упрощенной записи падения напряжения на тиристорах плеча исполь-
зуется формула
∆uVSn = ∆uVS  N,
где
(2.3)
n – номер плеча ВИП;
ΔuVS – падение напряжения на тиристоре одного плеча ВИП;
N – количество последовательно включенных элементов в ветви плеча.
42
Для упрощенной записи падения напряжения в цепи выпрямленного тока
используется формула
∆𝑢ВИП = iВИП  rВИПэ,
где
(2.4)
iВИП – ток ВИП;
rВИПэ – эквивалентное активное сопротивление цепи генератора.
Пусть в полупериоде где ЭДС обозначена сплошной стрелкой, ток ВИП про-
текал через тиристорные плечи VS1 и VS8, этому соответствует интервал времени
0-1
(рисунок 2.3).
Мгновенная
схема
замещения
ВИП
в
интервале 0-
1 представлена на рисунке 2.4 (а).
а)
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
E
E
VS4
VS2
б)
1
iВИП
Т1
2
E
VS6
Т2
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
i'ВИП
i'ВИП
L
ik
VS3
VS1
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
VS6
2
E
Т2
x1
VS8
Eg G
iв
+
ОВ к ВУВ
+
VD
RББР
Рисунок 2.4 – Мгновенные схемы замещения ВИП электровоза на примере
IV зоне регулирования: а) интервал 0-1; б) интервал 1-2
Образуется контур протекания тока:
– «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка ТТ а1-х1, тиристорное плечо
VS1, сглаживающий реактор L, «минусовой» вывод генератора G.
Для интервала 0-1 получим уравнение
43
diВИП EВИП – Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
,
dt
La1-x1 + LВИПэ
(2.5)
где Eg – ЭДС генератора;
Ea1-x1 – ЭДС обмоток а1-х1;
Lgэ – эквивалентная индуктивность цепи;
La1-x1 – индуктивность обмоток а1-х1.
В момент времени, соответствующий точке 1 (рисунок 2.2) управляющий импульс αр подается на управляющие электроды тиристоров плеча VS3. После чего
происходит коммутация с длительностью γр, с VS1 на VS3. Мгновенная схема замещения ВИП в интервале 1-2 представлена на рисунке 2.4 (б).
На данном интервале рассматриваются два контура:
а) первый контур:
– «плюсовой» вывод генератора G, диод VD, блок балластных резисторов R ББР,
тиристорное плечо VS8, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS1, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
– вторичная обмотка тягового трансформатора а1-1, тиристорное плечо VS3, тиристорное плечо VS1.
Далее необходимо определить общий для этих контуров протекания токов узел в
цепи и задаться направлением протекания тока в ветвях преобразователя (iВИП, ik, i'ВИП).
Для интервала 1-2 система уравнений выглядит
diВИП EВИП– Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
La1-x1 +LВИПэ
dik Ea1-x1 + ∆uVS1 – ∆uVS3
=
;
dt
La1-x1 + LВИПgэ
𝗅
iВИП + ik – i'ВИП = 0.
(2.6)
После коммутации γр ток инвертора протекает через VS3 и VS8 (рисунок 2.5
(а)), чему соответствует интервал 2-3 (рисунок 2.3).
44
а)
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
1
E
E
VS4
VS2
Т1
2
E
Т2
VS6
Eg
iв
G
+
x1
ОВ к ВУВ
+
VD
R
VS8
б)
L
i'ВИП
VS1
VS3
VS5
u1
VS7
1
a1
VS2
E
−
−
i'ВИП
i'k
TT
iВИПE
VS4
Т1
VS6
2
E
Т2
i'k
Рисунок 2.5 – Мгновенные
Eg G
x1
+
+
VD
VS8
схемы
iв
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 2-3; б) интервал 3-4
Контур протекания тока на этом интервале:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора 1-х1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генераторов G.
Для интервала 2-3 уравнение выглядит как
diВИП EВИП–E1-x1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
.
dt
L1-x1 + LВИПэ
(2.7)
В точке 3 (рисунок 2.3), импульс равный углу опережения β подается на тиристоры плеч VS2 и VS7. Сначала образуется первый (так называемый большой)
контур коммутации i'к, состоящий из плеч VS2, VS8 инвертора и всех трех секций
вторичной обмотки трансформатора 1а-1х. Мгновенная схема замещения инвертора во временном интервале 3-4 (рисунок 2.3), представлена на рисунке 2.5 (б).
Контуры протекания тока для данного интервала:
а) первый контур:
45
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора 1х1, тиристорное плечо VS3 «минусовой» вывод генератора G;
б) второй контур:
 тиристорное плечо VS2, вторичная обмотка тягового трансформатора
а1-х1, тиристорное плечо VS8.
Для данных контуров (iВИП, i'k, i'ВИП.) определяется общий электрический
узел и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 3-4 получается система уравнений
diВИП EВИП– E1-x1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
;
dt
L1-x1 + LВИПэ
di'k Ea1-x1 + ∆uVS2 – ∆uVS8
=
;
dt
La1-x1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
(2.8)
Несмотря на то, что импульсы управления β подаются одновременно на тиристорные плечи VS2 и VS7, второй (малый) контур коммутации i''k, состоящий из
плеч VS3 и VS7, не образуется, так как плечо VS7 не откроется вплоть до окончания
коммутации в большом контуре и запирании плеча VS8.
Длительность коммутации большого контура определяется углом γ'. По истечении времени, соответствующего углу γ', напряжение секции трансформатора
1-х1 становится больше нуля, что создает потенциальные условия для начала коммутации малого контура.
Малый контур коммутации i''k, для данного случая включает в себя секции
вторичной обмотки трансформатора 1-2, 2-х1, а также плеч VS3 и VS7. Длительность коммутации в данном контуре определяется углом γ''. Мгновенная схема замещения ВИП во временном интервале 4-5 представлена на рисунке 2.6 (а). Под
действием протекающего в этом контуре тока коммутации i''k плечо VS3 закрывается, а плечо VS7 открывается.
46
а)
iВИП
VS1
VS5
VS3
i'ВИП
i''k
L
i''k
i'ВИП
VS7
u1
−
−
1
a1
E
TT
E
VS4
VS2
Т1
2
E
VS6
Т2
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
1
a1
VS2
E
TT
E
VS4
Т1
2
E
VS6
Рисунок 2.6 – Мгновенные
Т2
Eg G
x1
iв
+
+
VD
VS8
схемы
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 4-5; б) интервал 5-π
Контуры протекания тока для интервала 4-5 интервала:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G;
б) второй контур:
 вторичная обмотка тягового трансформатора 1-х1, тиристорное плечо
VS7, тиристорное плечо VS3.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 4-5 получается система уравнений
47
diВИП EВИП– Ea1-x1 – ∆uВИП – ∆uVS2 – ∆uVS7
=
;
dt
La1-1 + L1-x1 + LВИПэ
di'k E1-x1 – ∆uVS3 + ∆uVS7
=
;
dt
L1-x1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП=0.
(2.9)
Только по окончанию коммутации малого контура ВИП переходит в проводящий режим до времени подачи регулируемых импульсов αр в следующем полупериоде напряжения вторичной обмотки тягового трансформатора, обозначенный пунктирной стрелкой на рисунке 2.3. Мгновенная схема замещения ВИП электровоза во
временном интервале 5-π (рисунок 2.3), представлена на рисунке 2.6 (б).
Образуется контур протекания тока:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS7, сглаживающий реактор L, «минусовой» вывод генератора G.
Для интервала 5-π получим уравнение
diВИП EВИП + Ea1-x1 – ∆uВИП – ∆uVS2 – ∆uVS7
=
.
dt
La1-x1 + LВИПэ
(2.10)
В точке π (рисунок 2.3) происходит смена направления ЭДС со сплошной на
штриховую стрелку. При этом ток в обмотке тягового трансформатора электровоза начинает протекать против направления его ЭДС в этот полупериод питающего напряжения интервал π-6 ток инвертора будет протекать через плечи VS2 и
VS7. Мгновенная схема замещения ВИП во временном интервале π-6 представлена
на рисунке 2.6 (б).
Контур протекания тока на этом интервале:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS7, сглаживающий реактор L, «минусовой» вывод генератора G.
Для интервала π-6 уравнение выглядит как
48
diВИП
dt
=
EВИП–Ea1-x1 -∆uВИП-∆uVS2-∆uVS7
.
La1-x1 +LВИПэ
(2.11)
В момент времени, соответствующий точке 6 (рисунок 2.3), управляющий
импульс αр подается на тиристоры плеча VS4. Происходит коммутация тока γр с
плеча VS2 на плечо VS4. Мгновенная схема замещения ВИП электровоза во временном интервале 6-7 (рисунок 2.3), представлена на рисунке 2.7 (а).
а)
L
i'ВИП
VS1
VS3
VS5
VS7
u1
−
−
1
a1
E
TT
iВИП i'ВИП E
ik
VS4
VS2
Т1
2
E
VS6
Т2
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
Рисунок
1
E
E
VS4
2.7
Т1
2
E
VS6
–
Мгновенные
Т2
Eg G
x1
iв
+
+
VD
VS8
схемы
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 6-7; б) интервал 7-8
Контуры протекания тока для интервала 6-7 интервала:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-x1, тиристорное плечо VS7, сглаживающий реактор L, «минусовой» вывод генератор G;
б) второй контур:
 тиристорное плечо VS4, вторичная обмотка тягового трансформатора
а1-1, тиристорное плечо VS2.
49
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 6-7 получается система уравнений
diВИП EВИП– Ea1-x1 – ∆uВИП – ∆uVS2 – ∆uVS7
=
;
dt
La1-x1 + LВИПэ
di'k Ea1-1 + ∆uVS4 – ∆uVS2
=
;
dt
La1-x1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
(2.12)
После фазовой коммутации γр ток ВИП будет протекать через плечи VS4 и
VS7, чему соответствует интервал 7-8 (рисунок 2.3). Мгновенная схема замещения
ВИП во временном интервале 7-8 представлена на рисунке 2.7 (б).
Для интервала 7-8 уравнение выглядит как
diВИП
dt
=
EВИП–E1-x1 -∆uВИП-∆uVS4-∆uVS7
.
L1-x1 +LВИПэ
(2.13)
В точке 8 (рисунок 2.3), импульс равный углу опережения β подается на тиристоры плеч VS1 и VS8. Сначала образуется большой контур коммутации i'k, состоящий из плеч VS1, VS7 ВИП и всех трех секций вторичной обмотки тягового
трансформатора 1а-1х. Мгновенная схема замещения инвертора во временном интервале 8-9 (рисунок 2.3), представлена на рисунке 2.8.
iВИП
i'ВИП
VS1
VS3
i'k VS7
VS5
i'ВИП
L
i'k
u1
−
−
TT
a1
VS2
Рисунок
1
E
E
VS4
2.8
Т1
2
E
VS6
–
Мгновенная
на примере IV зоны регулирования
Т2
Eg G
x1
+
VD
VS8
схема
iв
+
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
50
Контуры протекания тока для данного интервала:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных
резисторов RББР, тиристорное плечо VS4, вторичная обмотка тягового
трансформатора 1-х1, тиристорное плечо VS7, сглаживающий реактор L,
«минусовой» вывод генератора G;
б) второй контур:
 тиристорное плечо VS1, вторичная обмотка тягового трансформатора
а1-1х, тиристорное плечо VS7.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 8-9 получается система уравнений
diВИП EВИП– E1-x1 – ∆uВИП – ∆uVS4 – ∆uVS7
=
;
dt
L1-x1 + LВИПэ
di'k ∆uVS1 – Ea1-x1 – ∆uVS7
=
;
dt
La1-x1 + LВИПэ
𝗅
iВИП + i'k – iВИП = 0
(2.14)
Несмотря на то, что импульсы управления β подаются одновременно на тиристорные плечи VS1 и VS8, малый контур коммутации i''k, состоящий из плеч VS4 и
VS8 ВИП, не образуется вплоть до окончания коммутации в большом контуре i'k, с
тиристорного плеча VS7 на тиристорное плечо VS1. Мгновенная схема замещения
ВИП во временном интервале 9-10 (рисунок 2.3), представлена на рисунке 2.9 (а).
Под действием протекающего в этом контуре тока коммутации i''k плечо VS4 закрывается, а плечо VS8 открывается.
51
а)
L
i'ВИП
VS3
VS1
VS5
VS7
u1
−
−
1
a1
i'ВИП
iВИП
E
VS2
TT
i''k
E
VS4
Т1
2
E
Т2
i''k
VS6
iв
Eg G
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 2.9 – Мгновенные
Т2
x1
+
ОВ к ВУВ
+
VD
VS8
схемы
iв
Eg G
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 9-10; б) интервал 10-2π
Контуры протекания тока для интервала 9-10 интервала:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS4, вторичная обмотка тягового трансформатора а1-1, тиристорное плечо VS1, сглаживающий реактор L, «минусовой» вывод генератора G;
б) второй контур:
 тиристорное плечо VS8, вторичная обмотка тягового трансформатора
1-x1, тиристорное плечо VS4.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 9-10 получается система уравнений
52
diВИП EВИП– Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
La1-x1 + LВИПэ
di'k E1-x1 + ∆uVS4 – ∆uVS2
=
;
dt
L1-x1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
(2.15)
Мгновенная схема замещения ВИП во временном интервале 10-2π представлена на рисунке 2.9 (б).
Образуется контур протекания тока:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS1, сглаживающий реактор L, «минусовой» вывод генератор G.
Для интервала 10-2π получим уравнение
diВИП EВИП – Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
.
dt
La1-x1 + LВИПэ
(2.16)
Далее электромагнитные процессы ВИП в режиме инвертора происходят
аналогично описанным выше согласно алгоритму управления представленному в
таблице 2.1.
2.2 Исследование аварийных электромагнитных процессов типового
тиристорного ВИП в режиме рекуперативного торможения при пропусках
импульсов управления
Анализ
характера,
причин
повреждений
и
режимов
работы
электрооборудования электровозов переменного тока при выходе из строя
тиристоров ВИП показал, что основная часть повреждений и сбоев работы
силовой части электровозов происходят из-за повреждений электронных
элементов и сбоев в работе цепей системы управления [20, 55, 57, 100, 101, 102].
Половина таких отказов приходится на пропуск импульсов управления на
управляющие электроды тиристоров плеч ВИП. Действительно, в настоящее
53
время система управления построена таким образом, что в случае отказа любого
выходного канала аппаратуры управления возникает отказ отдельных выходных
каскадов на всех ВИП электровоза. Например, канал выходных усилителей БУ
ВИП, элементов кассет системы формирования импульсов ВИП. Также пропуски
импульсов управления могут произойти от внешних факторов: обрыв проводов
управления, замыкание проводов управления на корпус электровоза или между
собой, ослабление контактов клеммной рейки силового блока, некачественная
пайка штепсельных соединений розеток и т. д.
Для определения моментов пропусков импульсов управления предлагается
решения основанное на анализе длительностей коммутаций тиристоров плеч
инверторов электровоза. Рассмотрим работу инвертора электровоза на примере IV
зоны регулирования при пропуске импульса управления на тиристорное плечо
VS1. С момента подачи импульсов управления с углом опережения β, начинается
процесс коммутация тока, которому соответствует угол коммутации γ. Угол γ
может изменять свою величину сигнала в зависимости от величины напряжения
вторичной обмотки тягового трансформатора [90, 111].
ДУК состоит из медной шины служащей первичной обмоткой, и вторичной
обмотки, состоящей из четырёх, катушек каждая из которых имеет выводы (Н –
начало обмотки, К – конец обмотки, З – земля «0»), расположенных попарно с каждой стороны шины и соединённых последовательно (рисунок 2.10).
1
2
3
4
Б
A
H
K
З
K З
H
1
2
3
K З
H
4
5
7
H
K З
8
6
Рисунок 2.10 – Электрическая схема соединения катушек датчика угла
коммутации
54
На рисунке 2.11 представлены токи (а) и длительности коммутаций (б) тиристорных плеч на примере работы ВИП на четвёртой зоне регулирования.
а)
ivs1
А
ivs2
А
Пропуск импульса управления
0
π
2π
ωt
рад
0
π
2π
ωt
рад
0
π
2π
ωt
рад
0
π
2π
ωt
рад
0
π
2π
ωt
рад
2π
ωt
рад
2π
ωt
рад
ivs3
А
ivs4
А
ivs7
А
ivs8
А
Момент образование к. з.
0
π
б)
uγ
В
Отсутствие большого
контура коммутации
0
γр
Длительность коммутации при
штатной работе инвертора
γ'
γ
γ'' π
γр
γ
Длительность коммутации при
пропуске импульса управления
Рисунок 2.11 – Диаграмма токов плеч ВИП (ivs n) и напряжения ДУК (uγ) в
режиме рекуперативного торможения на примере работы плеч ВИП на
IV регулирования при пропуске импульса управления на плечо VS1
Работа ВИП начинается с протекания тока инвертора по плечам VS1 и VS8.
Далее происходит фазовая коммутация с тиристорного плеча VS1 и VS3. После
фазовой коммутации γр ток инвертора будет протекать через плечи VS3 и VS8.
В момент времени соответствующий углу опережения β, происходит подача импульсов на тиристоры плеч VS2 и VS7. Далее осуществляется коммутация в
большом контуре с плеча VS8 на плечо VS2, и соответственно в малом контуре
с плеча VS3 на плечо VS7 суммарная коммутация равна γ = γ'' + γ', это можно
отследить по сигналу датчика коммутации uγ (рисунок 2.11 (б)) [99, 104, 105].
55
Во время коммутации тиристорных плеч ВИП происходит изменение величины тока в первичной обмотке ДУК, при этом на его вторичной обмотке возникает электрический сигнал прямо пропорциональный длительности этой коммутации uγ (рисунок 2.11 (б)). В следующий полупериод работы ВИП импульсы
управления согласно алгоритму управления (таблица 2.1), подаются на тиристорные плечи VS1 и VS8, однако импульс управления на тиристорное плечо не приходит. В связи с этим отсутствует большой контур коммутации γ' с тиристорного
плеча VS7 на тиристорное плечо VS1(рисунок 2.11 (б)).
2.2.1
Исследование электромагнитных процессов аварийной работы
тиристорного ВИП в режиме рекуперативного торможения при пропуске
импульсов управления на плечо VS2
Рассмотрим работу ВИП на примере IV зоны регулирования в режиме
рекуперативного торможения при пропуске импульса управления β на тиристорное плечо VS2.
На рисунке 2.12 представлены диаграммы напряжения контактной сети (u1)
и ВИП (uВИП), токи контактной сети (i1) и ВИП (iВИП), токи, протекающие по плечам преобразователя iVSn где n – номер плеча, а также напряжение с датчиков угла
коммутации (uγ). ЭДС тягового трансформатора (Е), условно изображена сплошной стрелкой для прямой (E > 0) и штриховой линией для противоположенной (E
< 0) полярности. Для более подробного анализа первый полупериод разбивается
на временные интервалы 0-1-2-3-4-5-π, а второй полупериод – на интервалы π-2π,
изображенные на рисунке 2.12. До момента времени, соответствующему точке 3
(рисунки 2.12) электромагнитные процессы ВИП протекают аналогично описанным в пункте 2.1 (рисунок 2.3).
56
Рисунок 2.12 – Диаграммы тока и напряжения контактной сети,
выпрямленного напряжения и тока ВИП, токов плеч ВИП и сигналов
датчиков
угла
коммутации
на
примере
работы
ВИП
на
IV
регулирования при пропуске импульса управления тиристорного плеча VS2
зоне
57
В момент времени, соответствующий углу опережения β точка 3
(рисунок 2.12), происходит подача импульсов управления на тиристоры плеч преобразователя VS2 и VS7. Однако управляющий импульс не приходит на тиристорное
плечо VS2 (рисунок 2.13), в следствие чего отсутствует коммутация в большом контуре γ' с тиристоров плеча VS8 на плечо VS2. Далее происходит коммутация в малом
контуре γ'', с тиристоров плеча VS3 на VS7. Отсутствие одного из контуров коммутации определяется по сигналам датчиков угла коммутации Т23 и Т24 , представленном на рисунке 2.12 и обозначенным – uγ. Мгновенная схема замещения ВИП во временном интервале 3-4 (рисунок 2.12), представлена на рисунке 2.13.
iВИП
VS1
VS5
VS3
i'ВИП
i''k
L
ik''
i'ВИП
VS7
u1
−
−
TT
a1
VS2
E
1
E
VS4
Т1
2
E
VS6
Рисунок 2.13 – Мгновенная
Т2
Eg G
x1
+
ОВ к ВУВ
+
VD
VS8
схема
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования на интервале 3-4
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора 1-х1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G;
б) второй контур:
 тиристорное плечо VS7, вторичная обмотка тягового трансформатора 1х1, тиристорное плечо VS3.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
58
Для интервала 3-4 получим систему уравнений
diВИП EВИП– E1-x1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
;
dt
L1-x1 + LВИПэ
di''k E1-x1 + ∆uVS7 – ∆uVS3
=
;
dt
L1-x1 + LВИПэ
𝗅
iВИП + i''k – i''ВИП = 0.
(2.17)
На интервале 4-π-5 (рисунок 2.12) напряжение преобразователя uВИП равно
нулю, так как ток генераторов протекает через одновременно последовательно открытые плечи VS8-VS7, минуя вторичную обмотку тягового трансформатора
электровоза как показано на рисунке 2.14.
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 2.14 – Мгновенная
Т2
Eg G
x1
+
VD
VS8
схема
iв
+
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования на интервале 4-π-5
Из-за отсутствия потребителя электрической энергии вырабатываемой генераторами электровоза (вторичной обмотки тягового трансформатора), эквивалентное электрическое сопротивление выпрямленной цепи снижается практически до
нуля, оно обусловлено лишь внутренним сопротивлением всех элементов цепи.
Вследствие чего, величина тока в цепи преобразователя увеличивается многократно и может достигать шести и более тысяч ампер [65]. При этом образуется
контур протекания тока короткого замыкания:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, тиристорное плечо VS7, сглаживающий реактор L, «минусовой» вывод генератора G.
59
Для интервала 4-π-5 получаем уравнение
diВИП EВИПg-∆uВИП-∆uVS8-∆uVS7
=
.
dt
LВИПэ
(2.18)
При достижении величины тока в цепи преобразователя значений уставки
аппаратов токовой защиты произойдет их срабатывание и как следствие отключение электровоза от контактной сети главным выключателем, что в свою очередь
приведет к срыву режима рекуперативного торможения. Резкая потеря тормозного
эффекта электровоза и переход на пневматическое торможение, вызывают образование продольно-динамических усилий в составе, которые способны привести
к выдавливанию вагонов с рельсового пути, разрушению узлов механической части подвижных единиц, травмированию локомотивных бригад.
2.2.2
Исследование электромагнитных процессов аварийной работы
тиристорного ВИП в режиме рекуперативного торможения при пропуске
импульсов управления на плечо VS7
Рассмотрим работу ВИП на примере IV зоны регулирования в режиме
рекуперативного торможения при пропуске импульса управления β на
тиристорное плечо VS7.
На рисунке 2.15 представлены диаграммы напряжения контактной сети
(u1) и ВИП (uВИП), токи контактной сети (i1) и ВИП (iВИП), токи, протекающие
по плечам преобразователя iVSn где n – номер плеча, а также напряжение с
датчиков ула коммутации (uγ). ЭДС тягового трансформатора (Е), условно
изображена сплошной стрелкой для прямой (E > 0) и штриховой линией для
противоположенной (E < 0) полярности. Для более подробного анализа первый
полупериод
напряжения
вторичной
обмотки
тягового
трансформатора
электровоза разбивается на временные интервалы 0-1-2-3-4-π, второй – на
интервалы
π-5-6-7-8-9-2π
(рисунок
2.15).
До
момента
времени,
соответствующему точке 4 (рисунок 2.3) электромагнитные процессы ВИП
протекают аналогично описанным в пункте 2.1.
60
Рисунок 2.15 – Диаграммы тока и напряжения контактной сети,
выпрямленного напряжения и тока ВИП, токов плеч ВИП и сигналов
датчиков
угла
коммутации
на
примере
работы
ВИП
на
IV
регулирования при пропуске импульса управления тиристорного плеча VS7
зоне
61
В момент времени, соответствующий углу опережения β, точка 3
(рисунок 2.15), происходит подача импульсов на тиристоры плеч VS2 и VS7. Однако
на тиристорное плечо VS7 импульс управления не приходит. Образуется только
большой контур коммутации γ' с тиристорного плеча VS8 на VS2, это можно отследить по сигналу датчика коммутации uγ, рисунок 2.12. Мгновенная схема замещения
ВИП во временном интервале 3-4 (рисунок 2.15), представлена на рисунке 2.16 (а).
а)
L
i'ВИП
VS1
VS3
VS5
VS7
u1
i'ВИП
a1
E
VS2
−
−
1
i'k
TT
iВИПE
VS4
Т1
2
E
VS6
Т2
i'k
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
E
VS4
Т1
VS6
2
E
Т2
Eg G
x1
VS8
iв
+
ОВ к ВУВ
+
VD
RББР
Рисунок 2.16 – Мгновенные схемы замещения ВИП электровоза
на примере IV зоны регулирования а) интервал 3-4; б) интервал 4-π-5
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора a1-х1,
тиристорное плечо VS3, сглаживающие реакторы L1-L2, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1х1, тиристорное плечо VS8.
62
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел и
указываются направления протекания тока рекуперации в преобразователе.
Для интервала 3-4 получим систему уравнений
diВИП EВИП– E1-x1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
;
dt
L1-x1 + LВИПэ
di'k E1-x1 + ∆uVS2 – ∆uVS8
=
;
dt
L1-x1 + LВИПэ
𝗅
iВИП + i'k– i'ВИП = 0.
(2.19)
Мгновенная схема замещения ВИП во временном интервале 4-π-5 представлена на рисунке 2.16 (б). Образуется контур протекания тока:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G.
Для интервала 4-π-5 получим уравнение
diВИП EВИП – Eа1-1 – ∆uВИП – ∆uVS2 – ∆uVS3
=
.
dt
Lа1-1 + LВИПэ
(2.20)
В момент времени, соответствующий точке 5 управляющий импульс αр подается на тиристоры плеча VS4. На интервале 5-6 происходит коммутация с плеча
VS2 на плечо VS4. Мгновенная схема замещения ВИП во временном интервале 56 (рисунок 2.15), представлена на рисунке 2.17.
L
i'ВИП
VS1
VS3
VS5
VS7
u1
1
a1
E
TT
iВИП
E
Т1
2
E
Т2
Eg G
x1
VS4
ik
VS6
Рисунок 2.17 – Мгновенная
на примере IV зоны регулирования
VS8
схема
iв
+
ОВ к ВУВ
+
VD
ik
VS2
−
−
i'ВИП
RББР
замещения
ВИП
электровоза
63
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора a1-1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS4, вторичная обмотка тягового трансформатора а11, тиристорное плечо VS2.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 5-6 получим систему уравнений
diВИП EВИП– Eа1-1 – ∆uВИП – ∆uVS2 – ∆uVS3
=
;
dt
Lа1-1 + LВИПэ
dik' Eа1-1 + ∆uVS4 – ∆uVS2
=
;
dt
Lа1-1 + LВИПэ
𝗅
iВИП + ik – iВИП = 0.
(2.21)
Мгновенная схема замещения ВИП во временных интервалах 7-8-9-10-2π
представлена на рисунке 2.18.
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 2.18 – Мгновенная
Т2
Eg G
x1
+
ОВ к ВУВ
+
VD
VS8
схема
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
На интервалах 7-8-9-10-2π (рисунок 2.15), напряжение инвертора uВИП равно
нулю, так как, ток генератора протекает через одновременно открытые плечи
тиристоров, минуя вторичную обмотку тягового трансформатора (рисунок 2.18). Из-
64
за отсутствия потребителя (вторичной обмотки ТТ), эквивалентное сопротивление
выпрямленной цепи снижается практически до нуля, вследствие чего, величина тока
многократно увеличивается. Образуется контур протекания тока КЗ:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS4, тиристорное плечо VS3, сглаживающий реактор L,
«минусовой» вывод генератора G.
Для интервала 6-7 получим уравнение
diВИП EВИП – ∆uВИП – ∆uVS4 – ∆uVS3
=
.
dt
LВИПэ
(2.22)
В результате пропусков управляющих импульсов β на тиристорные плечи
всех ВИП происходит образование режима КЗ в их силовых цепях, что характеризуется многократным и высокоинтенсивным нарастанием силы тока. При достижении одного из вышеуказанных параметров уставки быстродействующих выключателей произойдет их срабатывание, это приведет к разбору схемы рекуперативного торможения и отключению электрических цепей электровоза от контактной сети. Резкая потеря тормозного эффекта электровоза и переход на пневматическое торможение, вызывают образование продольно-динамических усилий в составе, которые способны привести к выдавливанию вагонов с рельсового пути,
разрушению узлов механической части подвижных единиц, травмированию локомотивных бригад. Отсутствие резервного торможения (электрического) у электровоза является не допустимым, как в пассажирском, так и в грузовом движениях
[19, 20, 39, 55, 57, 100, 105].
Благодаря анализу сигналов ДУК с высокой точностью предлагается определяется моменты пропусков импульсов управления на тиристорные плечи ВИП,
при этом не требуется установка дополнительных элементов или других устройств
в систему управления преобразователем электровоза [99, 105].
65
3 РАЗРАБОТКА
И
ИССЛЕДОВАНИЕ
СПОСОБА
ПОВЫШЕНИЯ РАБОТОСПОСОБНОСТИ ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНЫХ
ПРЕОБРАЗОВАТЕЛЕЙ ЭЛЕКТРОВОЗА ПЕРЕМЕННОГО ТОКА В РЕЖИМЕ
РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ
3.1 Разработка способа повышения работоспособности ВИП электровоза
переменного тока в режиме рекуперативного торможения
Современный электроподвижной состав оборудованный микропроцессорной
системой управления, которая работает по заложенным в ней алгоритмам управления. За счет доработки этих алгоритмов, можно добиться расширения функционала
программного обеспечения микропроцессорных систем управления тяговым приводов электровозов переменного тока без установки дополнительных устройств и элементов [6, 11, 13, 43, 62, 116, 117,].
Предлагаемое решение заключается в изменении алгоритма работы системы
управления преобразователями электровоза таким образом, что тиристорное
плечо не принявшее токовую нагрузку (аварийное плечо) определяется и резервируется другим – параллельным плечом этого же преобразователя. На рисунке 3.1
представлен разработанный алгоритм защиты от пропусков импульсов управления, который является дополнением к основной программе работы блока управления ВИП электровоза в режиме рекуперативного торможения и состоит из блоков:
1 – Блок осуществляющий подачу импульсов управления на тиристорные плечи
преобразователя VS1…VS8; 2, 3 – Блоки осуществляющие контроль за длительностью коммутации через датчики угла коммутации, изначально установленные
на электровозе; 4 – Блок, проверки условия по длительности коммутации; 5 – Блок,
осуществляющий контроль за скоростью нарастания тока в цепи преобразователя
через датчики тока, изначально установленные на электровозе; 6, 6' – Блоки определения отсутствия коммутации тиристоров плеч ВИП в большом γ' либо в малом
γ'' контурах; 7 – Блок определения тиристорного плеча инвертора не принявшего
нагрузки (аварийного); 8 – Блок, резервирования (замены) аварийного плеча другим тиристорным плечом этого же преобразователя [95, 103, 106].
66
Рисунок 3.1 – Разработанный алгоритм
работы
блока
управления
ВИП электровоза переменного тока
Блок схема работает следующим образом: после формирование и подачи системой управления сигналов (импульсов управления) на тиристорные плечи преобразователя (блок 1), сигнал прямо пропорциональный длительности коммутации Uγ вырабатывается датчиками угла коммутации и используется для формирования импульса управления β = γ + δ. Данный сигнал предлагается использовать
для определения пропусков импульсов управления (блок 2). Где коммутация γ состоит из γ' – коммутации в большом контуре и γ'' – коммутации в малом контуре
67
(γ = γ' + γ''). Исходя из условия (3.1) происходит определение пропуска импульса
управления по отсутствию одного из контуров коммутации (блоки 2, 3) [99, 105].
uγn ≥ 2uγn (n+1)
(3.1)
где uγn – сигнал ДУК равный длительности коммутации тиристорных плеч ВИП
в предыдущем полупериоде ЭДС вторичной обмотке ТТ;
uγ(n+1) – сигнал ДУК равный длительности коммутации тиристорных плеч
ВИП в текущем полупериоде ЭДС вторичной обмотке ТТ.
Далее осуществляется контроль за скоростью нарастания тока в цепи инвертора (блоки 4, 5), это необходимо для выявления аварийного плеча. Определение
скорости нарастания тока инвертора dig/dt происходит по формуле
𝑑𝑖𝑔
𝑑𝑖𝑔
(
)
≥ 3,5 · ( )
𝑑𝑡 (𝑛+1)
𝑑𝑡 (𝑛)
где
(3.2)

 di 
– скорость нарастания тока инвертора в текущем полупериоде
 g 
 dt 


(n 1)

работы инвертора электровоза;
 dig 

 – скорость нарастания тока инвертора в предыдущем полупериоде
 dt 

n
работы инвертора электровоза.
Если скорость нарастания тока инвертора превышает полученное значение скорости нарастания в предыдущем полупериоде в 3,5 и более раз, то это свидетельствует
о том, что отсутствует большой контур коммутации γ' (блок 6). И на оборот, если скорость нарастания тока инвертора превышает полученное значение скорости нарастания в предыдущем полупериоде менее чем в 3,5 раз, то это свидетельствует о том, что
отсутствует малый контур коммутации γ'' (блок 6'). На основе полученных данных
определяется аварийное плечо преобразователя (блок 7) и заменяется другим согласно
разработанному алгоритму управления (блок 8).
68
Таблица 3.1 – Разработанный алгоритм управления ВИП электровоза в
инверторном режиме при пропусках импульсов управления
3.2 Исследование электромагнитных процессов при работе ВИП электровоза
согласно разработанному алгоритму управления в режиме рекуперативного
торможения при пропуске импульса управления на тиристорное плечо VS2
Для более подробного анализа рассмотрим четыре полупериода каждый из
которых разбивается на временные интервалы: 0-1-2-3-4-π, π-5-6-7-2π, 2π-8-9-103π, 3π-11-12-13-4π, представленные на рисунке 3.2.
69
Рисунок 3.2 – Диаграммы тока и напряжения контактной сети,
выпрямленного напряжения и тока ВИП, токов плеч ВИП и сигналов датчиков
угла коммутации на примере работы ВИП согласно разработанному алгоритму
управления на IV зоне регулирования при пропуске импульса управления
тиристорного плеча VS2
Допустим, что в полупериоде напряжения вторичной обмотки тягового
трансформатора, в котором ЭДС обозначена сплошной стрелкой, ток инвертора
протекал через плечи VS1 и VS8, этому соответствует интервал 0-1 (рисунок 3.2).
Мгновенная схема замещения ВИП во временном интервале 0-1 представлена на
рисунке 3.3 (а).
70
а)
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
1
E
VS2
E
VS4
б)
iВИП
Т1
2
E
VS6
Т2
Eg G
iв
ОВ к ВУВ
+
x1
+
VD
VS8
RББР
i'ВИП
i'ВИП
L
ik
VS3
VS1
VS5
VS7
u1
−
−
TT
a1
VS2
Рисунок
1
E
VS4
3.3
–
E
Т1
2
E
VS6
Мгновенные
Т2
Eg G
x1
ОВ к ВУВ
+
+
VD
VS8
схемы
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 0-1; б) интервал 1-2
Для интервала 0-1 получим уравнение
diВИП EВИП – Eа1-х1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
.
dt
Lа1-х1 + LВИПэ
(3.2)
В момент времени, соответствующий точке 1 управляющий импульс αр подается на VS3. После этого протекает коммутация тока γр, с VS1 на VS3 ВИП.
Мгновенная схема замещения ВИП во временном интервале 1-2 представлена на
рисунке 3.3 (б).
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка а1-1, тиристорное плечо VS1,
сглаживающий реактор L; «минусовой» вывод генератор G.
б) второй контур:
 тиристорное плечо VS3, вторичная обмотка а1-1, тиристорное плечо VS1.
71
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 1-2 получим систему уравнений
diВИП EВИП – Ea1-х1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
La1-х1 + LВИПэ
di'k Ea1-1 + ∆uVS3 – ∆uVS1
=
;
dt
La1-1 + LВИПэ
𝗅
iВИП+i'k – i'ВИП = 0.
(3.3)
После коммутации γр ток ВИП протекает через VS3 и VS8, чему соответствует
интервал 2-3. Мгновенная схема замещения инвертора во временном интервале 2-3
представлена на рисунке 3.4.
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 3.4 – Мгновенные
Т2
Eg G
x1
+
ОВ к ВУВ
+
VD
VS8
схемы
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 2-3 получим уравнение
diВИП EВИП – E1-х1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
.
dt
L1-х1 + LВИПэ
(3.4)
В точке 3 (рисунок 3.1), импульс равный углу опережения β подается на тиристоры плеч VS2 и VS7. Однако управляющий импульс не приходит на тиристорное плечо VS2, это приводит к отсутствию коммутация в большом контуре γ' с тиристоров плеча VS8 на VS2 (рисунок 3.1). Далее происходит коммутация в малом
контуре γ'' с тиристоров плеча VS3 на VS7. Суммарная коммутация равна коммутации в малом контуре γ = γ'', это можно отследить по сигналу датчика угла коммутации uγ, рисунок 3.1. Мгновенная схема замещения ВИП во временном интервале 34 представлена на рисунке 3.5 (а).
72
а)
VS1
VS3
i'ВИП
i''k
L
ik''
VS7
VS5
u1
−
−
TT
a1
1
E
VS2
E
VS4
Т1
2
E
VS6
Т2
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS3
VS1
VS5
VS7
u1
−
−
TT
a1
E
VS4
VS2
Рисунок
1
3.5
–
E
Т1
2
E
VS6
Мгновенные
Т2
Eg G
x1
+
ОВ к ВУВ
+
VD
VS8
схемы
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 3-4; б) интервал 4-π-5
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блоки балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка 1-х1, тиристорное плечо VS3,
сглаживающие реакторы L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS7, вторичная обмотка 1-х1, тиристорное плечо VS3.
Для данных контуров (iВИП, i'k, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 3-4 получим систему уравнений
diВИП EВИП – E1-х1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
;
dt
L1-х1 + LВИПэ
di''k Ea1-2 + ∆uVS7 – ∆uVS3
=
;
dt
L1-х1 + LВИПэ
𝗅
iВИП + i''k – i'ВИП = 0.
(3.5)
73
После окончания коммутации в малом контуре ток начинает протекать через
последовательно открытые тиристорные плечи VS7 и VS8, при этом образуется аварийный режим – короткое замыкание генератора, контур протекания тока представлен на рисунке 3.5. (б). Согласно алгоритму управления, представленному на рисунке
3.1, пропуск импульса управления определяется по сигналам датчиков угла коммутации, а на какое именно тиристорное плеч произошел пропуск импульса определяется
по интенсивности нарастания силы тока в цепи ВИП. Далее работа тиристорных плеч
ВИП осуществляется алгоритму, представленному в таблице 3.1 [103].
На интервале 4-π-5 напряжение инвертора uВИП равно нулю, так как, ток генератора протекает через одновременно открытые плечи тиристоров, минуя вторичную обмотку тягового трансформатора, показано рисунке 3.5 (б).
Для интервала 4-π-5 получим уравнение
diВИП EВИП – ∆uВИП – ∆uVS8 – ∆uVS7
=
.
dt
LВИПэ
(3.6)
В момент времени, соответствующей точке 5 (рисунок 3.2), происходит подача
импульсов β на тиристоры плеч VS1 и VS8. В результате происходит коммутация с
тиристорного плеча VS7 на плечо VS1, мгновенная схема замещения интервала 5-6
представлена на рисунке 3.6.
ik
i'ВИП
iВИП
L
ik
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
E
VS2
Рисунок
1
E
VS4
3.6
Т1
2
E
VS6
–
Мгновенные
Т2
Eg G
RББР
замещения
на примере IV зоны регулирования
На данном интервале рассматриваются два контура:
а) первый контур:
+
VD
VS8
схемы
iв
+
x1
ОВ к ВУВ
ВИП
электровоза
74
 «плюсовой» вывод генератора G, диод VD, блоки балластных резисторов
RББР, тиристорное плечо VS8, тиристорное плечо VS1, сглаживающий реактор L,
«минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS1, вторичная обмотка а1-х1, тиристорное плечо VS7.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 5-6 получим уравнение
diВИП EВИП – ∆uВИП – ∆uVS8 – ∆uVS7
=
;
dt
LВИПэ
dik Ea1-х1 + ∆uVS1 – ∆uVS7
=
;
dt
La1-х1 + LВИПэ
𝗅
iВИП + ik – i'ВИП = 0.
(3.7)
Далее ток протекает через тиристорные плечи VS1 и VS8 мгновенная схема
замещения интервала 6-2π-7 представлена на рисунке 3.7.
VS1
VS3
VS5
L
iВИП
ik''
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 3.7 – Мгновенные
Т2
Eg G
x1
ОВ к ВУВ
+
+
VD
VS8
схемы
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 6-2π-7 получим уравнение
diВИП EВИП – Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
,
dt
La1-x1 + LВИПэ
(3.8)
В момент времени соответствующий точке 7, управляющие импульсы β подаются одновременно на тиристоры плеч VS4 и VS7. В результате происходит коммутация, сначала в большом контуре с тиристорного плеча VS1 на тиристорное
75
плечо VS7, потом в малом контуре с тиристорного плеча VS8 на тиристорное плечо
VS4. Мгновенная схема замещения интервалов 7-8 представлена на рисунке 3.8 (а).
а)
iВИП
VS1
VS5
VS3
i'ВИП
i'k
L
i'k
i'ВИП
VS7
u1
−
−
TT
a1
E
1
E
VS4
VS2
Т1
2
E
Т2
VS6
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
i'ВИП
VS1
VS3
VS5
VS7
u1
TT
a1
E
VS2
Рисунок
1
VS4
3.8
–
E
Т1
VS6
2
E
i''k Т2
i''k
Мгновенные
−
−
i'ВИП
Eg G
x1
iв
+
+
iВИП
VD
VS8
RББР
схемы
замещения
ОВ к ВУВ
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 7-8; б) интервал 8-9
На интервале 7-8 рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка х1-а1, тиристорное плечо VS1,
сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 вторичная обмотка а1-х1, тиристорное плечо VS7, тиристорное плечо
VS1.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 7-8 получим систему уравнений
76
diВИП EВИП– Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
Lа1-х1 + LВИПэ
di'k Eа1-х1 + ∆uVS7 – ∆uVS1
=
;
dt
Lа1-х1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
Мгновенная
схема
замещения
интервала
8-9
(3.9)
представлена
на
рисунке 3.8 (б). На интервале 8-9 рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, тиристорное плечо VS7, сглаживающий реактор L,
«минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS4, вторичная обмотка 1-х1, тиристорное плечо VS8.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 8-9-10 получим систему уравнений
diВИП EВИП – Ea1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
Lа1-х1 + LВИПэ
di''k E1-х1 + ∆uVS4 – ∆uVS8
=
;
dt
L1-х1 + LВИПэ
𝗅
iВИП + i''k – i'ВИП = 0.
(3.10)
На интервале 9-3π-10 (рисунок 3.2), ток инвертора будет протекать через тиристорные плечи VS4 и VS7, тем самым поддерживается достаточное напряжение
на выходе инвертора для удержания тока якоря в допустимых пределах [101]. При
этом повышается работоспособность режима рекуперативного торможения, что в
свою очередь приводит к повышению безопасности движения грузовых и пассажирских поездов, а также сохранению энергоэффективности современных отечественных электровозов. Мгновенная схема замещения интервала 9-3π-10 представлена на рисунке 3.9.
77
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
Eg G
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
+
x1
Т2
+
VD
VS8
Рисунок 3.9 – Мгновенные
ОВ к ВУВ
iв
RББР
схемы
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 9-3π-10 получим уравнение
diВИП EВИП – E1-х1 – ∆uВИП – ∆uVS4 – ∆uVS7
=
.
dt
L1-х1 + LВИПэ
(3.11)
Далее электромагнитные процессы ВИП в режиме инвертора происходят
аналогично описанным выше при работе согласно разработанному алгоритму
управления, представленному в таблице 3.1.
3.3
Исследование
электровоза
согласно
рекуперативного
электромагнитных
разработанному
торможения
при
процессов
алгоритму
пропуске
при
работе
управления
импульса
в
ВИП
режиме
управления
на
тиристорное плечо VS7
Для более подробного анализа рассмотрим четыре полупериода каждый из
которых разбивается на временные интервалы: 0-1-2-3-4-5-π, π-6-7-2π, 2π-8-9-1011-3π, 3π-12-13-4π, представленные на рисунке 3.10.
78
Рисунок 3.10 – Диаграммы тока и напряжения контактной сети, выпрямленного
напряжения и тока ВИП, токов плеч ВИП и сигналов датчиков угла коммутации на
примере работы ВИП согласно разработанному алгоритму управления на IV зоне
регулирования при пропуске импульса управления тиристорного плеча VS7
Пусть в полупериоде питающего напряжения, в котором ЭДС обозначена
сплошной стрелкой, ток инвертора протекал через плечи VS1 и VS8, этому соответствует интервал 0-1 (рисунок 3.10). Мгновенная схема замещения ВИП во временном интервале 0-1 представлена на рисунке 3.11 (а).
79
а)
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
VS2
б)
1
E
E
VS4
iВИП
Т1
2
E
VS6
Т2
Eg G
iв
ОВ к ВУВ
+
x1
+
VD
VS8
RББР
i'ВИП
i'ВИП
L
ik
VS3
VS1
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
E
VS4
Т1
2
E
VS6
Рисунок 3.11 – Мгновенные
Т2
Eg G
x1
ОВ к ВУВ
+
+
VD
VS8
схемы
iв
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования: а) интервал 0-1; б) интервал 1-2
Для интервала 0-1 получим уравнение
diВИП EВИП–Eа1-х1 – ∆uВИП –∆uVS8 – ∆uVS1
=
.
dt
Lа1-х1 + LВИПэ
(3.12)
В момент времени, соответствующий точке 1 управляющий импульс αр подается на тиристоры плеча VS3. После этого происходит коммутация тока с длительностью γр, с плеча VS1 на плечо VS3 инвертора. Мгновенная схема замещения
ВИП во временном интервале 1-2 (рисунок 3.9), представлена на рисунке 3.10 (б).
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка а1-х1, тиристорное плечо VS1,
сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 вторичная обмотка а1-1, тиристорное плечо VS3, тиристорное плечо VS1.
80
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 1-2 получим систему уравнений
diВИП EВИП– Eа1-х1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
La1-х1 + LВИПэ
di'k Ea1-1 + ∆uVS1 – ∆uVS3
=
;
dt
La1-1 + LВИПэ
𝗅
iВИП + i'k – iВИП = 0.
(3.13)
После фазовой коммутации γр ток инвертора будет протекать через плечи
VS3 и VS8, чему соответствует интервал 2-3 (рисунок 3.9). Мгновенная схема замещения инвертора во временном интервале 2-3 представлена на рисунке 3.12.
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 3.12 – Мгновенная
Т2
Eg G
x1
iв
+
+
VD
VS8
схема
ОВ к ВУВ
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 2-3 получим уравнение
diВИП Eg – E1-х1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
.
dt
L1-х1 + Lgэ
(3.14)
В момент времени, соответствующий углу опережения β, точка 3, происходит подача импульсов на тиристоры плеч VS2 и VS7. Однако на VS7 импульс не
приходит рисунок 3.12 (а). Образуется только большой контур коммутации с тиристорного плеча VS8 на VS2, это можно отследить по сигналу датчика коммутации uγ, рисунок 3.9. Мгновенная схема замещения ВИП во временном интервале
3-4 представлена на рисунке 3.13 (а).
81
а)
L
i'ВИП
VS1
VS5
VS3
VS7
u1
i'ВИП
1
a1
E
VS2
−
−
i'k
TT
E
iВИП
VS4
Т1
2
E
VS6
Т2
i'k
Eg G
iв
+
x1
ОВ к ВУВ
+
VD
VS8
RББР
б)
L
iВИП
VS1
VS3
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 3.13 – Мгновенные
Т2
x1
+
ОВ к ВУВ
+
VD
VS8
схемы
iв
Eg G
RББР
замещения
ВИП
электровоза
на примере 4-ой зоне регулирования: а) интервал 3-4; б) интервал 4-π-5
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка 1-х1, тиристорное плечо VS3,
сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS2, вторичная обмотка а1-х1, тиристорное плечо VS8.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 3-4 получим систему уравнений
diВИП EВИП– E1-x1 – ∆uВИП – ∆uVS8 – ∆uVS3
=
;
dt
L1-x1 + LВИПэ
di'k Eа1-x1 + ∆uVS2 – ∆uVS8
=
;
dt
Lа1-x1 + LВИПэ
𝗅
iВИП + i'k– i'ВИП = 0.
(3.15)
82
На интервале 4-π-5 ток инвертора будет протекать через плечи VS2 и VS3.
Мгновенная схема замещения инвертора во временном интервале 4-π-5 представлена на рисунке 3.13 (б). Для интервала 4-π-5 получим уравнение
diВИП EВИП – Eа1-1 – ∆uВИП – ∆uVS2 – ∆uVS3
=
.
dt
Lа1-1 + LВИПэ
(3.16)
В момент времени, соответствующий точке 5 (рисунок 3.9) управляющий импульс αр подается на тиристоры плеча VS4. После этого происходит коммутация
тока с длительностью γр, с плеча VS2 на плечо VS4 инвертора. Мгновенная схема
замещения инвертора во временном интервале 5-6 представлена на рисунке 3.14.
L
i'ВИП
VS1
VS3
VS5
VS7
u1
1
a1
E
TT
iВИП
E
Т1
2
E
Т2
Eg G
x1
VS4
ik
VS6
Рисунок 3.14 – Мгновенная
VS8
схема
iв
+
ОВ к ВУВ
+
VD
ik
VS2
−
−
i'ВИП
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
На данном интервале рассматриваются два контура:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1-1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS4, вторичная обмотка а1-1, тиристорное плечо VS3.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 5-6 получим систему уравнений
83
diВИП EВИП – Eа1-1 – ∆uВИП – ∆uVS2 – ∆uVS3
=
;
dt
La1-1 + LВИПэ
di'k Ea1-1 + ∆uVS4 – ∆uVS3
=
;
dt
La1-1 + LВИПэ
𝗅
iВИП + i'k – iВИП = 0.
(3.17)
После окончания фазовой коммутации ток начинает протекать через последовательно открытые тиристорные плечи VS3 и VS4, при этом образуется аварийный режим короткого замыкания. Мгновенная схема замещения ВИП во временном интервале 6-7 представлена на рисунке 3.15.
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
Т1
2
E
VS6
Рисунок 3.15 – Мгновенная
Т2
Eg
x1
+
ОВ к ВУВ
+
VD
VS8
схема
iв
G
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 6-7 получим уравнение
diВИП EВИП– ∆uВИП – ∆uVS4 – ∆uVS3
=
.
dt
LВИПэ
(3.18)
Согласно алгоритму управления, представленному на рисунке 3.1, пропуск
импульса управления определяется по сигналам датчиков угла коммутации, а на какое именно тиристорное плеч произошел пропуск импульса определяется по интенсивности нарастания силы тока в цепи ВИП. Далее работа тиристорных плеч ВИП
осуществляется алгоритму, представленному в таблице 3.1.
В момент времени соответствующий точке 7, согласно предлагаемому алгоритму управления тиристорными плечами ВИП, происходит подача управляющих
импульсов β, на тиристоры плеч VS1 и VS8. В результате происходит коммутация,
сначала в большом контуре с тиристорного плечаVS4 на тиристорное плечо VS8,
84
чему соответствует мгновенная схема замещения интервалов 7-8 представлена на
рисунке 3.16 (а), а потом в малом контуре с тиристорного плеча VS3 на тиристорное плечо VS1, чему соответствует мгновенная схема замещения интервалов 8-9
представлена на рисунке 3.16 (б).
а)
L
i'ВИП
VS1
VS5
VS3
VS7
u1
TT
1
a1
E
i'k
E
iВИП
VS4
VS2
−
−
i'ВИП
Т1
2
E
VS6
Т2
i'k
Eg
iв
G
+
x1
ОВ к ВУВ
+
VD
RББР
VS8
б)
i'ВИП
i''k
iВИП
L
i'ВИП
i''k VS3
VS1
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
E
VS4
Т1
VS6
2
E
Т2
x1
iв
Eg G
+
VS8
ОВ к ВУВ
+
VD
RББР
Рисунок 3.16 – Мгновенные схемы замещения ВИП электровоза
на примере IV зоны регулирования: а) интервал 7-8; б) интервал 8-9
Контуры протекания тока для интервала 7-8 интервала:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS4, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS8, вторичная обмотка тягового трансформатора 1х1, тиристорное плечо VS4.
85
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 8-9 получим уравнение
diВИП EВИП– ∆uВИП – ∆uVS4 – ∆uVS3
=
.;
dt
LВИПэ
di'k E1-х1 + ∆uVS8 – ∆uVS4
=
;
dt
L1-х1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
(3.19)
Контуры протекания тока для интервала 8-9:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блоки балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора 1-х1, тиристорное плечо VS3, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 вторичная обмотка тягового трансформатора а1-1, тиристорное плечо
VS1, тиристорное плечо VS3.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
diВИП EВИП– ∆uВИП – ∆uVS4 – ∆uVS3
=
.;
dt
LВИПэ
di''k Eа1-1 + ∆uVS3– ∆uVS1
=
;
dt
Lа1-1 + LВИПэ
𝗅
iВИП+i''k – i'ВИП = 0.
(3.20)
Далее ток протекает через тиристорные плечи VS1 и VS8, чему
соответствует интервал 9-2π-10 (рисунок 3.9), тем самым поддерживается
напряжение на выходе инвертора для ограничения тока генераторов, мгновенная
схема замещения интервала 9-2π-10 представлена на рисунке 3.17.
86
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
TT
a1
1
E
VS2
E
VS4
Т1
2
E
Т2
VS6
Eg G
+
x1
ОВ к ВУВ
+
VD
VS8
Рисунок 3.17 – Мгновенная
iв
RББР
схема
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 9-2π-10 получим уравнение
diВИП EВИП – Eа1-х1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
.
dt
Lа1-х1 + LВИПэ
(3.21)
В соответствии с разработанным алгоритмом управления тиристорными плечами инвертора (таблица 3.1), в момент времени соответствующий точке 10 (рисунок
3.9), управляющие импульсы β одновременно подаются на тиристоры плеч VS2 и
VS5. В результате происходит коммутация, сначала в большом контуре с тиристорного плеча VS8 на тиристорное плечо VS2 (рисунок 3.18), потом в малом контуре с
тиристорного плеча VS1 на тиристорное плечо VS5 (рисунок 3.19).
L
i'ВИП
VS1
VS3
VS5
VS7
−
u1
Eg G
i'ВИП
a1
1
iВИП E
E
i'k
VS2
iв
+
VS4
2
Т1
VS6
TT
E
Т2
i'k
+
x1
VS8
−
ОВ к ВУВ
VD
RББР
Рисунок 3.18 – Мгновенная схема замещения ВИП электровоза на примере
IV зоны регулирования
Контуры протекания тока для интервала 10-11:
а) первый контур:
87
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS8, вторичная обмотка тягового трансформатора а1-х1, тиристорное плечо VS1, сглаживающий реактор L, «минусовой» вывод генератора G.
б) второй контур:
 тиристорное плечо VS2, вторичная обмотка тягового трансформатора а1х1, тиристорное плечо VS8.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 10-11 получим уравнение
diВИП EВИП – Eа1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
.;
dt
Lа1-x1 + LВИПэ
di'k Eа1-х1 + ∆uVS8 – ∆uVS2
=
;
dt
Lа1-х1 + LВИПэ
𝗅
iВИП + i'k – i'ВИП = 0.
iВИП
i'ВИП
i'ВИП
VS1
VS3
L
i''k
i''k
VS5
VS7
u1
−
−
TT
a1
VS2
E
1
VS4
E
(3.22)
Т1
2
VS6
E
Т2
i'k
x1
VS8
Eg G
iв
+
ОВ к ВУВ
+
VD
RББР
Рисунок 3.19 – Мгновенные схемы замещения ВИП электровоза
на примере 4-ой зоне регулирования
Контуры протекания тока для интервала 11-12:
а) первый контур:
 «плюсовой» вывод генератора G, диод VD, блок балластных резисторов
RББР, тиристорное плечо VS2, тиристорное плечо VS1, сглаживающий реактор L,
«минусовой» вывод генератора G.
б) второй контур:
88
 вторичная обмотка тягового трансформатора а1-1, тиристорное плечо
VS5, тиристорное плечо VS1.
Для данных контуров (iВИП, ik, i'ВИП) определяется общий электрический узел
и указываются направления протекания тока рекуперации в преобразователе.
Для интервала 10-11-12 получим уравнение
diВИП EВИП– Eа1-x1 – ∆uВИП – ∆uVS8 – ∆uVS1
=
;
dt
Lа1-x1 + LВИПэ
di''k Eа1-2 + ∆uVS5 – ∆uVS1
=
;
dt
Lа1-2 + LВИПэ
𝗅
iВИП+i''k – i'ВИП = 0.
(3.23)
Далее ток инвертора будет протекать через тиристорные плечи VS2 и VS5, чему
соответствует интервал 12-3π-13 (рисунок 3.9), мгновенная схема замещения интервала представлена на рисунке 3.20.
L
iВИП
VS1
VS5
VS3
VS7
u1
−
−
Eg G
TT
a1
E
VS2
Рисунок
1
E
Т1
VS4
VS6
3.20
–
2
E
Т2
i'k
Мгновенная
iв
+
x1
ОВ к ВУВ
+
VD
VS8
схема
RББР
замещения
ВИП
электровоза
на примере IV зоны регулирования
Для интервала 12-3π-13 получим уравнение
diВИП EВИП – Eа1-2 – ∆uВИП – ∆uVS5 – ∆uVS2
=
.
dt
Lа1-2 + LВИПэ
(3.24)
Далее электромагнитные процессы ВИП в режиме инвертора происходят аналогично описанным выше при работе согласно предлагаемому алгоритму управления,
представленному на рисунке 3.10.
89
В результате применения предлагаемого алгоритма работы блока управления
ВИП происходит определение момента пропуска импульса управления за счет
сравнительного анализа длительности коммутации тиристоров плеч преобразователя. При выявлении пропуска импульса управления происходит сравнительный
анализ интенсивности нарастания тока в цепи преобразователя, в ходе чего определяется тиристорное плечо не принявшее токовую нагрузку (аварийное). Далее аварийное плечо резервируется другим – параллельным тиристорным плечом, этого
же преобразователя. В результате обеспечивается напряжение необходимое для
снижения величины тока в цепи преобразователя, благодаря чему не произойдет
срабатывание аппаратов защиты электровоза, сам режим рекуперативного торможения становится работоспособным.
Разработанный алгоритм управления ВИП позволяет повысить работоспособности электровоза в режиме рекуперативного торможения, что в значительно
степени повышает безопасность движения поездов, сохраняет энергоэффективность пассажирских и грузовых электровозов переменного тока, а также повышает
пропускную способность тяговых плеч при эксплуатации тягового электроподвижного состава.
90
4 МАТЕМАТИЧЕСКОЕ МОДЕЛИРОВАНИЕ ЭЛЕКТРОМАГНИТНЫХ
ПРОЦЕССОВ В СИСТЕМЕ «ТЯГОВАЯ ПОДСТАНЦИЯ – КОНТАКТНАЯ СЕТЬ
– ЭЛЕКТРОВОЗ» В РЕЖИМЕ РЕКУПЕРАТИВНОГО ТОРМОЖЕНИЯ
4.1 Выбор прикладного пакета для математического моделирования сложных технических систем
Сегодня математическое моделирование является неотъемлемой частью любой
научно-исследовательской работы как в естественнонаучных, так и в социально-экономических областях знаний. Это инструмент исследования, эффективное применение которого требует определенных знаний, навыков и понимания, в каких случаях и
в какой мере этот инструмент может и должен применяться [109].
Моделирование сложных электротехнических устройств позволяет глубоко исследовать процессы, которые на физической модели или действующем устройстве получить крайне сложно [76]. В данном случае это аварийные электромагнитные процессы ВИП электровоза переменного тока, возникающие по причине пропусков импульсов управления на тиристорные плечи преобразователей электровоза. На протяжении нескольких лет лидирующие позиции в области специализированного программного обеспечения занимает пакет Matlab [8, 70, 107], поэтому в данной работе
он выбран для исследования процессов в системе «Тяговая сеть – электровоз».
При разработке математической модели учитывался опыт исследований таких
ведущих российских ученых как: А.Н. Савоськин (д-р техн. наук, профессор РУТ
(МИИТ)), С.В. Власьевский, Ю.М. Кулинич (д-р техн. наук, профессоры ДВГУПС).
4.2 Имитационная модель системы электроснабжения переменного тока
В данном исследовании применяется математическая модель контактной
сети, разработанная под руководством профессора А.Н. Савоськина. Известно, что
контактную сеть необходимо рассматривать как цепь с распределенными параметрами или длинную линию, если ее длина больше длины волны протекающего в ней
тока или распространяющегося по ней напряжения [75].
91
Длина волны определяется по формуле
λ = υ · T,
(4.1)
где Т – период напряжения;
υ – фазовая скорость распространения волны.
Длина волны
50-ти
герцового
излучения
в
вакууме
составляет
λ ≈ 3·108·0,02 = 6·106 м = 6000 км. На практике соответствующая длина волны в
контактной сети несколько меньше, ввиду того, что фазовая скорость не достигает
скорости распространения электромагнитной волны в вакууме, из-за чего и контактная сеть на промышленной частоте при достаточно малых длинах не проявляет себя как длинная линия.
Представление участка линии некоторой длиной ∆x возможно в виде конечно элементной модели, которая основана на последовательном включении Тобразных конечных элементов согласно схеме замещения (рисунок 4.1) [75].
L1 ∆x/2
r1 ∆x/2
r1 ∆x/2
C0 ∆x
L1 ∆x/2
g0 ∆x
∆x
Рисунок 4.1 – Схема замещения конечного элемента контактной сети
длиной ∆x
Представленная схема замещения может быть описана системой обычных
дифференциальных уравнений (4.2), количество которых зависит от числа
интервалов разбиения участка длинной линии (конечных элементов). Чем меньше
будет значение ∆x, тем больше будет число конечных элементов, которые
составляют участок контактной цепи, следовательно, более точным будет
описание электромагнитных процессов, происходящих на моделируемом участке.
Когда ∆x→0, число конечных элементов будет бесконечно, а система уравнений
будет эквивалентна системе двух уравнений в частных производных.
92
𝜕𝑢
{
В
работе
[75]
𝜕𝑖
−
= 𝑖𝑟1 + 𝐿1 ;
𝜕𝑥
𝜕𝑡
𝜕𝑖
𝜕𝑢
−
= 𝑢𝑔0 + 𝐶0
.
𝜕𝑥
𝜕𝑡
исследовался
участок
(4.2)
контактной
сети
длиной
20 км, разбитый на 20 конечных элементов каждый длиной один километр с параметрами:
r1 = 0,107
Ом/км;
L1= 0,819
мГн/км;
r0 = 1/g0 = 6
МОм/км;
С0 = 29 нФ/км. Для оценки влияния количества конечных элементов на вид переходного процесса изменения напряжения был смоделирован участок, состоящий из 50 и 100 конечных элементов. Результаты сравнения показали, что оптимальной является модель, содержащая 50 конечных элементов, т.к. по сравнению с переходом к 100 конечным элементам, затухание увеличивается незначительно по сравнению с переходом от 20 к 50, но значительно возрастает время
необходимое для расчета.
Был выполнен параметрический синтез нелинейной схемы замещения контактной сети. Нелинейное изменение индуктивности и активного сопротивления
вызвано поверхностным эффектом. Экспериментальные значения указанных параметров в функции тока приведены в [75]. Для решения данной задачи использовалась схема замещения продольной составляющей конечного элемента, предложенная профессором Б.И. Косаревым (рисунок 4.2).
L1-2
L1-1
r1-1
r1-2
C0
r0
∆x
Рисунок 4.2 – Схема замещения конечного элемента участка цепи с учетом
нелинейности продольных параметров
Уравнения для расчета значений активной и индуктивной составляющей
93
схемы, рисунок 4.2, имеют вид
𝑟𝑝 (𝜔) = 𝑟1−1 +
2
𝑟1−2𝜔2𝐿1−2
;
2
𝑟1−2
+ 𝜔2𝐿21−2
𝑟1−2𝐿1−2
𝐿𝑝 (𝜔) = 𝐿1−1 + 2
.
2 𝐿2
𝑟
+𝜔
𝗅
1−2
1−2
(4.3)
При проведении расчетов принят 2-х путный участок с параллельно соединёнными контактными подвесками, маркой троса ПБСМ1-95, контактным проводом 2МФ-100, тип рельса принят Р65.
В расчете принят участок контактной сети с двухсторонним питанием длиной 20 км с разбивкой на 50 элементов по 400 м со следующими параметрами
схемы
L1-1=2,480×10-4 Гн, R1-1=5,308×10-2 Ом;
L1-2=4,400×10-5 Гн, R1-2=0,4669 Ом;
С0=11,6 нФ, r0=1/g0=2,4×106 Ом.
Тяговая подстанция представляется в виде включенных последовательно
идеального источника ЭДС (eтп), активного сопротивления (Rтп) и индуктивности
(Lтп) приведенных к напряжению тяговой сети. На рисунке 4.3. представлена схема
замещения тяговой подстанции.
eтп
Rтп
Lтп
Рисунок 4.3 – Схема замещения тяговой подстанции
Величина ЭДС определяется согласно выражению
𝑒тп = Етп√2 sin 2𝜋𝑓𝑡,
где
(4.4)
f – частота питающей ЭДС = 50 Гц;
Етп – действующее значение ЭДС = 27500 В.
Приведенные параметры тяговой подстанции при питании от трансформатора
94
мощностью 40 МВА, имеющего собственное индуктивное сопротивление ХLтп = 3,8
Ом при частоте 50 Гц, составляют Lтп = 67,662 мГн, Rтп= 0,2 Ом [53, 122, 51, 121].
В рамках диссертационного исследования моделируется участок фидерной
зоны двухстороннего питания (рисунок 4.4). Участок состоит из 100 конечных элементов, каждый из которых имитирует 400 м контактной сети, расстояние между
тяговыми подстанциями составляет 40 км. Все рассматриваемые дальше математические исследования проводились при условии максимального удаления электровоза от тяговой подстанции, что составляет 20 км.
Рисунок 4.4 – Блок-схема фидерной зоны с двухсторонним питанием и
включением электровоза, выполненная в редакторе Simulink
4.3 Математическая модель силовых цепей электровоза переменного тока в
режиме рекуперативного торможения
Математическая модель электровоза переменного тока является объединенной комплексной системой, включающую в себя несколько подсистем, взаимодействующих между собой. Силовая электрическая часть электровоза с тяговым трансформатором, тяговым приводом и его системой управления является одной из таких
подсистем [22, 51, 56, 121].
Прототипом схемы математической модели послужила схема силовых электрических цепей двухсекционного электровоза серии 2ЭС5К «Ермак», работающего
в режиме рекуперативного торможения.
Структурная схема математической модели электровоза (рисунок 4.5),
имеет: два тяговых трансформатора (по одному на каждую секцию электровоза),
за основу которого принят тяговый трансформатор типа ОНДЦЭ-4350/25
запитанный от контактной сети переменного тока (частотой 50Гц и напряжением
25 кВ), блок измерения значений параметров работы системы содержащий
95
датчики угла коммутации и датчики слежения за напряжением в контактной сети,
четырех преобразователей типа ВИП-4000 М (ВИП 1…4) предназначенными для
управления двумя тяговыми двигателями типа НБ-514Б установленными на
каждой тележки электровоза, тележка и ВИП соединены между собой через СР
типа РС-19 (2 на тележку), одной ВУВ типа ВУВ-24 служащей для питания
обмоток возбуждения всех ТЭД электровоза в режиме рекуперативного
торможения [116]. Блок управления с алгоритмом работы, который соответствует
алгоритму работы типового блока управления электровоза 2ЭС5К в режиме
рекуперативного торможения, описание которого приведено во второй главе
диссертационной работы.
Рисунок 4.5 – Структурная схема математической модели электровоза
96
4.3.1 Математическая модель тягового трансформатора электровоза
При разработке математической модели тягового трансформатора в качестве прототипа, был принят трансформатор типа ОНДЦЭ-4350/25, электровоза серии «Ермак» [106].
Тяговый трансформатор электровоза рисунок 4.6 представляется в виде схемы
замещения многообмоточной системы с магнитными связями. Вводятся допущения,
что распределенными параметрами емкостей обмоток можно пренебречь, а распределенные параметры индуктивностей обмоток можно считать сосредоточенными и
постоянными для каждого интервала времени процесса работы трансформатора.
Учитывая, что витки каждой из двух вторичных тяговых обмоток (а1-х1, а2-х2) расположены на разных стержнях магнитопровода, значениями взаимоиндуктивностей
между секциями этих обмоток в силу их малости пренебрегаем.
U1
r1
x1
М23
М13
r3
x3
М12
r2
x2
u2
u3
к ВИП 1
М23
М13
r3
x3
М12
x2
u3
r2
u2
к ВИП 2
Рисунок 4.6 – Схема замещения тягового трансформатора ОНДЦЭ-4350/25
Секции одной вторичной тяговой обмотки трансформатора соединены с силовой схемой ВИП. На протяжении всего регулирования выпрямленного напряжения
ВИП можно рассматривать как двухзонный в виду аналогичного принципа их работы. Поэтому схему замещения тягового трансформатора, приведенную к одному
97
преобразователю, необходимо представить в виде трехобмоточной системы, параметры которой будут определяться в зависимости от номера зоны регулирования [22].
Электромагнитные процессы, происходящие при работе трансформатора
можно описать следующей системой дифференциальных уравнений (4.5) – (4.7)
𝑑𝑖1
𝑑Φ0
(4.5)
𝑢 =𝑖 ×𝑟 +𝐿 ×
+𝑤 ×
,
1
1
1
1𝜎
1
𝑑𝑡
𝑑𝑡
𝑑𝑖2
𝑑Φ0
(4.6)
𝑢 =𝑖 ×𝑟 +𝐿 ×
+𝑤 ×
,
2
2
2
2𝜎
2
𝑑𝑡
𝑑𝑡
𝑑𝑖3
𝑑Φ0
(4.7)
𝑢3 = 𝑖3 × 𝑟3 + 𝐿3𝜎 ×
+ 𝑤3 ×
.
𝑑𝑡
𝑑𝑡
где u1, u2, u3 – напряжения первичной и соответствующих вторичных обмоток тягового трансформатора;
i1, i2, i3 – токи первичной и соответствующих вторичных обмоток тягового
трансформатора;
r1, r2, r3 – активные сопротивления первичной и соответствующих вторичных обмоток тягового трансформатора;
L1, L2, L3 – индуктивности рассеяния первичной и соответствующих
вторичных обмоток тягового трансформатора;
w1, w2, w3 – число витков первичной и соответствующих вторичных обмоток тягового трансформатора;
Ф0 – основной магнитный поток в магнитопроводе тягового трансформатора.
Согласно теории электромагнитных процессов, основной магнитный поток в
стальном сердечнике многообмоточного трансформатора создается совместным
действием магнитодвижущей силы (МДС) первичной и вторичных обмоток. Исходя
из этого для трехобмоточного трансформатора, согласно закону Ома для магнитных
цепей, основной магнитный поток магнитопровода, Ф0, определяется по формуле
𝛷 =
0
𝑖1𝑤1+𝑖2𝑤2+𝑖3𝑤3
𝑅𝜇
= 𝜆 (𝑖 𝑤 + 𝑖 𝑤 + 𝑖 𝑤 ),
𝜇
1
1
2
2
3
3
где Rμ – магнитное сопротивление трансформатора;
λμ – магнитная проводимость магнитопровода трансформатора.
(4.8)
98
Подставив выражение (4.8) в уравнения (4.5) – (4.7) получим, исходя из второго закона Кирхгофа для магнитных цепей, систему дифференциальных уравнений, описывающих электромагнитные переходные процессы, происходящие в
трехобмоточном трансформаторе
𝑢 = 𝑖 𝑟 + (𝐿
1
1 1
+ 𝑤2𝜆 )
1𝜎
1 𝜇
𝑢 = 𝑖 𝑟 + (𝐿
2
2 2
3
3 3
3𝜎
𝑑𝑖2
2 𝜇
+ 𝑤2𝜆 )
3 𝜇
+𝑤 𝑤 𝜆
1
𝑑𝑡
+ 𝑤2𝜆 )
2𝜎
𝑢 = 𝑖 𝑟 + (𝐿
𝑑𝑖1
+𝑤 𝑤 𝜆
2 𝜇 𝑑𝑡
+𝑤 𝑤 𝜆
1
𝑑𝑖1
+𝑤 𝑤 𝜆
3
𝑑𝑡
2
𝑑𝑖1
1 𝜇
𝑑𝑡
2 𝜇
,
𝑑𝑖3
(4.9)
,
(4.10)
𝑑𝑖2
.
𝑑𝑡
(4.11)
3 𝜇 𝑑𝑡
+𝑤 𝑤 𝜆
3
𝑑𝑖3
3 𝜇 𝑑𝑡
+𝑤 𝑤 𝜆
2 1 𝜇 𝑑𝑡
𝑑𝑡
𝑑𝑖3
𝑑𝑖2
где w21= М11 – взаимная индуктивность первичной обмотки тягового трансформатора;
1
𝑤 𝑤 𝜆 =𝑀
11 𝐾
2 1 𝜇
1
= 𝑀 ,𝑤 𝑤 𝜆 = 𝑀
12
𝑇12
1
2 𝜇
= 𝑀 – взаимные индук-
11 𝐾
13
𝑇13
тивности между первичной и соответствующими вторичными тяговыми обмоткам
трансформатора;
𝑤 𝑤 𝜆 =𝑀
2
1
11 𝐾
3 𝜇
𝑇12
×𝐾
1
=𝑀
23
𝑇13
– взаимная индуктивность между вто-
ричными тяговыми обмотками трансформатора, где КТ12, КТ13 – коэффициенты
трансформации трансформатора между первичной и соответствующей вторичной
обмоткой, 𝐾
𝑇12
=
𝑤1
,, 𝐾
𝑤2
𝑤2𝜆 = 𝑀
2 𝜇
11
𝑇13
1
2
𝐾𝑇12
=
𝑤1
;
𝑤3
= 𝑀 , 𝑤2𝜆
22
3 𝜇
=𝑀
11
1
2
𝐾𝑇13
=𝑀
33
– взаимные индуктив-
ности тяговых обмоток трансформатора;
L1 + М11 = L1 – полная индуктивность первичной обмотки трансформатора;
L2 + М22 = L2, L3 +М33 = L3 – полные индуктивности соответствующих
вторичных тяговых обмоток трансформатора.
Используя принятые обозначения и проведя соответствующие преобразования
уравнений (4.8) – (4.10) получим следующую систему уравнений (4.12) – (4.14) [22]
99
𝑢 =𝑖 𝑟 +𝐿
1
1 1
2
12 𝑑𝑡
𝑑𝑖1
𝑢 =𝑀
3
13 𝑑𝑡
𝑑𝑖2
+𝑀
1 𝑑𝑡
𝑑𝑖1
𝑢 =𝑀
𝑑𝑖1
12 𝑑𝑡
𝑑𝑖2
+𝑖 𝑟 +𝐿
2 2
2 𝑑𝑡
𝑑𝑖2
+𝑀
23 𝑑𝑡
𝑑𝑖3
+𝑀
13 𝑑𝑡
𝑑𝑖3
+𝑀
(4.12)
,
(4.13)
.
(4.14)
23 𝑑𝑡
𝑑𝑖3
+𝑖 𝑟 +𝐿
3 3
,
3 𝑑𝑡
Систему уравнений (3.11) – (3.13) записывается в матричной форме
𝑢1
𝑟1 0
𝑢
| 2| = | 0 𝑟2
𝑢3
0 0
0 𝑖1
𝐿1
0 | ∙ |𝑖2 | + |𝑀12
𝑟3
𝑖3
𝑀12
𝐿2
𝑀13 𝑑 𝑖1
𝑀23 | ∙ |𝑖 2|,
𝑀13 𝑀23
𝐿3
𝑑𝑡
(4.15)
𝑖3
Применительно к рассматриваемому трансформатору ОНДЦЭ-4350/25 параметры модели имеют следующие значения
29.176
0.367
0.734
M = | 0.367 0.0046314
0.00925 | ;
0.734
0.00925
0.0092628
0.556
0
0
R =| 0
|.
0.0007855
0
0
0
0.001571
В матрице M по главным диагоналям расположены значения полных индуктивностей, а в матрице R активные сопротивления обмоток тягового трансформатора.
Справа и слева от главной диагонали матрицы M приведены значения взаимных индуктивностей между обмотками тягового трансформатора.
Реализация модели тягового трансформатора производится при помощи
блока «Multi-Winding Transformer» пакета Simulink. Блок «Multi-Winding Transformer» (рисунок 4.7), моделирует однофазный многообмоточный трансформатор
с произвольным числом обмоток как на первичной, так и на вторичной стороне.
100
L2рас.
R2
2+
R1
L1рас.
1+
L3 рас.
2
R3
3+
Lm
Rm
Lnрас.
1
3
Rn
n+
n
Рисунок 4.7 – Схема модели многообмоточного трансформатора в
библиотеке Simulink
Для всех моделей трансформаторов параметры схемы замещения задаются
в относительных величинах [99]. Расчет относительных параметров производится,
с учетом паспортных данных на трансформатор ОНДЦЭ-4350/25 [106].
Блок схема модели трансформатора электровоза 2ЭС5К, разработанная в редакторе Simulink, показана на рисунке 4.8.
а1
к ВИП1
1
2
х1
а2
к ВИП2
3
4
х2
а3
к ВУВ
5
х3
Рисунок 4.8 – Блок-схема трансформатора ОНДЦЭ-4350/25 в редакторе
Simulink
101
Номинальные действующие напряжения обмоток данного трансформатора
приведены в [116] и имеют следующие значения:
U1(А-Х) = 25 кВ;
U2(а1-х1; а2-х2) = 1260 В;
U2(1-х1; 3-х2) = 945 В;
U2(2-х1; 4-х2) = 630 В;
U2(а1-1; а2-2) = 315 В.
4.3.2 Математическая модель ВИП в режиме рекуперативного торможения
электровоза
На любой из зон регулирования, кроме первой, ВИП можно рассматривать
как двухзонный, это обусловлено принципом работы и особенностью его алгоритма управления. Отличием в работе зон являются номера плеч и секций обмоток
тягового трансформатора, задействованных в конкретный интервал времени.
Схема замещения силовых цепей ВИП электровоза на примере 4-ой зоны регулирования представлена на рисунке 4.9.
U1
Х
А
X1
M13
M12 M14
+
VS7
VS1
M34
VS3
VS5
M23
a1
VS2
1
e3
U3
2
e2
X3
VS4
dik1
dt
M24
U2 X 2
dik 2
dt
e4
U4
X4
x1
к цепи
ТЭД 1,ТЭД 2
VS8
VS6
Рисунок 4.9 – Схема замещения силовых цепей ВИП электровоза для 4-ой
зоны регулирования
102
Для анализа электромагнитных процессов, расчета мгновенных значений
токов и напряжений при управлении типовым ВИП на примере 4-ой зоны регулирования, рассмотрим два интервала времени основной коммутации следующих
поочередно (последовательно) друг за другом:
– интервал коммутации в большом контуре, когда ток коммутации iк1 замыкается по цепи: плечо VS1, секции а1-1, 1-2, 2-x1, плечо VS7;
– интервал коммутации в малом контуре, когда ток коммутации iк2 замыкается по цепи: плечо VS4, секция 1-2, 2-x1, плечо VS8.
Для рассмотренных, в схеме замещения приведенной на рисунке 4.8,
контуров коммутации ВИП проведем расчет производной тока, и согласно
второму
закона
Кирхгофа
в
каждом
контуре
коммутации
уравнение
электрического равновесия представим формулой
𝑒=
𝑢1
=𝑋
𝑑𝑖𝑘
𝐾 𝑑𝜔𝑡
KT
,
(4.16)
где е – ЭДС, наводимая в витках секции вторичной обмотки основным
магнитным потоком трансформатора;
u1 – напряжение первичной обмотки трансформатора;
KT – коэффициент трансформации трансформатора между первичной и
секцией вторичной обмотки, находящейся в короткозамкнутом контуре коммутации;
XK – эквивалентное индуктивное сопротивление обмоток трансформатора
формула (4.17) – (4.18) в процессе коммутации ВИП, приведённое к виткам одной
или нескольких секций вторичной обмотки. Каждая малая секция обмотки ТТ
имеет напряжение холостого хода 315 В и индуктивное сопротивление
Х2 = Х3 = Хсекц. Большая секция имеет напряжение 630 В, имеет витки равные
сумме витков двух малых секций и индуктивное сопротивление Х4 = 2Хсекц.
Значения KT и XK для большого контура коммутации.
K
T1(2+3+4)
𝑋𝐾(2+3+4) =
𝑋1
2
KT1(2+3+4)
=
𝑢1
= 19,84,
𝑒2+𝑒3+𝑒4
+ 𝑋2 + 𝑋3 + 𝑋4 =
𝑋1
19,84
2 + 4𝑋секц =
𝑋1 + 1574,52𝑋секц
393,63
103
𝑑𝑖𝑘1 = 𝑒2 + 𝑒3 + 𝑒4 =
𝑑𝜔𝑡
𝑋
K
𝑘(2+3+4)
𝑢1
𝑋
T1(2+3+4)
=
𝑘(2+3+4)
19,84𝑢1
𝑋 + 1574,52𝑋
1
.
(4.17)
секц
Для малого контура коммутации
KT1(2+4) =
𝑋𝐾(2+4) =
𝑋1
K 2T1(2+4)
𝑢1
𝑒2 + 𝑒4
= 26,4 ,
+ 𝑋2 + 𝑋4 =
𝑋1 + 2090,88𝑋секц
,
696,96
𝑑𝑖𝐾2
26,4𝑢1
=
.
𝑑𝜔𝑡 𝑋1 + 2090,88𝑋секц
(4.18)
Для нахождения выражений для dik/dωt в двух контурах коммутации рассмотрим систему уравнений (4.19) – (4.21), описывающих процессы коммутации
в этих контурах
𝑒 =
𝑑𝑖𝑘1
3
𝑋
𝐾3
(4.19)
,
𝑑𝜔𝑡
𝑑𝑖𝑘1 𝑑𝑖𝑘2
𝑒2 = (
+
) 𝑋𝐾2 ,
𝑑𝜔𝑡 𝑑𝜔𝑡
𝑑𝑖𝑘1 𝑑𝑖𝑘2
𝑒 =(
+
)𝑋 .
4
𝑑𝜔𝑡 𝑑𝜔𝑡 𝐾4
(4.20)
(4.21)
После преобразований системы уравнений (4.19-4.21) будут выглядеть в общем виде выражения для производных токов коммутации в контурах
dik1
dωt
dik2
dωt
=
=
e3
XK3
(4.22)
,
XK3e2 − XK2e3
XK2XK3
.
(4.23)
Блок-схема типового ВИП, выполненная в редакторе Simulink, представлена
на рисунке 4.10.
104
Выпрямительно-инверторный
преобразователь
+
m
k
g
a
a
a
L1
к цепи
ТЭД 1,ТЭД 2
VS 7
g
VS 5
g
a
k
m
VS 3
g
VS 1
k
m
k
m
beta_+
alfa_r_+
beta_-
beta_-
beta_+
alfa_r_-
Блок управления
L3
L2
L4
+
-
V
V1
L5
L6
m
k
g
a
a
VS 8
g
a
g
VS 6
Блок
коммутации
ТТ
x1
k
k
VS 4
g
VS 2
2
m
1
m
a1
m
beta_bloc
Блок
пропуска
сигнала
a
clock_0.7
k
beta_-
L7
L8
+
-
V
V2
-
Выпрямительно-инверторный
преобразователь
к цепи
ТЭД 1,ТЭД 2
Рисунок 4.10 – Блок-схема ВИП в редакторе Simulink
В пакете MatLab тиристоры моделируются с учетом многих особенностей реальных приборов. Схема модели тиристора в редакторе Simulink представлена на
рисунке 4.11, которая состоит из последовательно включенных резистора Ron, индуктивности Lon, источника постоянного напряжения Uf, ключа SW и демпфирующей RC-цепи. Блок логики управляет работой ключа. Открытие тиристора осуществляется при приложении положительного напряжения (Uak-Uf) и наличии сигнала управления на управляющем электроде (g). Длительность управляющего импульса должна быть такой, чтобы при включении анодный ток тиристора превысил
ток удержания, иначе включение не произойдет. Для выключения тиристора необходимо снизить ток Iak до нуля при нулевом управляющем импульсе, к тому же длительность приложения отрицательного напряжения должна превышать время выключения тиристора. В модели учитывается нелинейность вольт–амперной характеристики. Все эти параметры тиристора позволяют получить процессы, протекающие в преобразователе, достаточно близкие к реальным [13, 15, 19, 84].
105
Rs
A Iak
Ron
SW
Cs
Lon
Uf
+ -
K
Uak
Iak
Блок
логики
g
Рисунок 4.11 – Схема модели тиристора в библиотеке Simulink
В качестве прототипа силовых тиристоров в модели ВИП применялись приборы типа Т353-800 34-го класса, которые в настоящее время используются в качестве силовой базы ВИП-4000 М [81, 116].
Разработанная Модель ВИП (рисунок 4.11), была исследована на всех
четырех зонах регулирования в режиме рекуперативного торможения, во всем
диапазоне подачи углов регулирования. Переход в открытое состояние
тиристоров
осуществлялось
за
счет
подачи
сигнала
от
генераторов
прямоугольных импульсов согласно типовому алгоритму управления плечами
ВИП в режиме инвертора, представленному в главе 2. Для имитации пропусков
управляющих импульсов на тиристорные плечи преобразователя, разработан блок
пропуска сигналов. Его работа основана на применении логических элементов
сумматоров, которые в заданный момент времени обнуляют сигналы,
поступающие на указанное тиристорное плечо. Также за счет применения
сумматоров, элементов сравнении, триггеров, алгоритм управления ВИП
реализует предлагаемый алгоритм, за счет включения в работу основной
программы блока управления ВИП блоков, работа которых подробно описана в п.
3.
При
исследовании
параметры
модели
устанавливались
близкими
к
соответствующим значениям электровоза 2ЭС5К [116].
4.3.3 Математическая модель ВУВ
ВУВ включает в себя два тиристорных плеча, которые работают поочередно
в соответствующий полупериод напряжения вторичной обмотки тягового
106
трансформатора (а3-х3). ВУВ работает по известной двухполупериодной схеме
выпрямления с нулевой точкой. [18, 69, 51]. Схема замещения ВУВ во время
коммутации представлена на рисунке 4.12, а ее блок-схема в редакторе Simulink,
представлена на рисунке 4.13.
U1
А
Х
L1
М15
r5
М16
М56
L5
L6
r6
а7
а6
iПШ
х4
LB
VS9
VS10
iB
rПШ
rB
iК
iК
i ВУВ
Рисунок 4.12 – Схема замещения типового ВУВ во время коммутации
Электромагнитные переходные процессы в цепи типового ВУВ во время
коммутации тока вентилей описываются уравнениями (4.31-4.35) и (4.37)
𝑑𝑖к
𝑒5+𝑒6−𝑖к(𝑟5+𝑟6)
.
(4.37)
𝐿5+𝐿6−𝑀15−𝑀16+𝑀56
VS 9
Uupr_VS9
а3
g
m
a
k
5
VS 10
Uupr_VS10
х3
g
m
a
k
+
К обмоткам
возбуждения ТЭД
К тяговому трансформатору
𝑑𝑡
=
Рисунок 4.13 – Блок-схема типового ВУВ в редакторе Simulink
107
4.3.4 Математическая модель блока управления ВИП с типовым и
предлагаемым алгоритмами управления
Математическая модель блока управления ВИП, представленная на рисунке
4.14 содержит в своем составе два блока:
– блок процессора, осуществляет все основные вычислительные операции
по формированию импульсов управления;
– блок распределительного устройства, распределяет сигналы управления
по плечам ВИП.
Блок распределительного устройства
Блок процессора
1
U_upr
Pol_per
Pol_per
Uupr _VS1
Uupr _VS2
Uupr _0
betta
Uupr _VS3
Uγ
Uupr _VS4
3
alfar
Uupr _VS5
2
gamma
us1
betta
alfar
Us
4
sinhro
alfar_vyv
alfar_vyv
1
Uupr _VS6
Uupr _VS7
Uupr _1
Uupr _VS8
Usinhro
Zona
Zona
Uupr _VS9
Uupr _VS10
Рисунок 4.14 – Математическая модель блока управления типовым ВИП в
режиме рекуперативного торможения
Обозначения принятые на рисунке 4.14: Uupr_0 – сигнал с пульта машиниста
равный значению напряжения управления; Uγ – сигнал с датчиков слежения за
углом коммутации; Us – сигнал с датчика слежения за потенциальными условиями;
Usinhro – сигнал синхронизации; Pol_per – сигнал который несет информацию о знаке
полупериода; betta – управляющий импульс β; alfar – управляющий импульс αр;
alfar_vyv – управляющий импульс ВУВ αр; Zona – информация о номере зоны
регулирования; Uupr_VS1…Uupr_VS8 – импульсы управления распределяемые по
плечам ВИП; Uupr_VS9…Uupr_VS10 – импульсы управления распределяемые по
плечам ВУВ; Uupr_1 – сигналы поступающие на ВИП и ВУВ.
Поскольку
управлением
программа,
силовой
реализующая
преобразовательной
данный
алгоритм,
установкой,
связана
работающей
промышленной частоте 50 Гц, то необходимо, чтобы интервал повторения
с
на
108
вычислений был синхронизирован с тактом работы преобразователя. В связи с
этим интервал повторения вычислений принят равным одному полупериоду
промышленной частоты и составляет 10 мс [7, 37, 59,]. Алгоритм работы основной
программы работы блока управления ВИП с реализованным способом повышения
работоспособности преобразователя для режима рекуперативного торможения,
представлен на рисунке 4.15.
1
Начало
Наличие сигнала
синхронизации
да
14
да
2
15
Алгоритм работы
1-ой зоны
3
нет
N=0
(1 зона)
16
да
N=1
(2 зона)
17
Алгоритм работы
2-ой зоны
Ppol_1=Ppol
да
4
19
нет
18
Алгоритм работы
3-ей зоны
Ввод полярности
полупериода Ppol
нет
N=2
(3 зона)
20
Алгоритм работы
4-ой зоны
21
да
Передача сигналов
на выходные
усилители
5
Проверка
правильности
полупериода
22
нет
6
Слежение за Uγ
Ввод
Uupr_0, Us, Uγ
7
23
да
uupr_0 /9
8
Uγn ≥ 2 Uγ(n+1)
нет
24
Выделение целой
части = Nзоны
Слежение за
𝑑𝑖вип
𝑑𝑡
9
25
Выделение остатка
= Uupr_1
10
Задание
интенсивности по
αр
11
Определение
номера зоны и αр
12
Ограничение αр по
максимальному
значению
да
26
𝑑𝑖вип
𝑑𝑖вип
(
) ≥ 3,5 (
)
𝑑𝑡 𝑛+1
𝑑𝑡 𝑛
Отсутствие ik'
γ = γ''
нет
26'
Отсутствие ik''
γ = γ'
27
Определение
аварийного плеча
VSn_avar
28
Резервирование
аварийного плеча
VSn_rez
13
Ограничение αр по
минимальному
значению
29
Конец
Рисунок 4.15 – Предлагаемый алгоритм работы основной программы блока
управления ВИП в режиме рекуперативного торможения
109
Запуск программы осуществляется по приходу сигнала «Sinhro», который
формируется по переходу сетевого напряжения через ноль (Sinhro = 1 - есть
переход, Sinhro = 0 - нет перехода) (блок 2), длительность Sinhro = 500 мкс. Блоки
3-5 служат для отсева ложных сигналов полупериода (п/п) длительность Ppol = 10
мс: если приходит сигнал помехи, то он игнорируется (блок 5), и программа
переходит в режим ожидания прихода действительного фронта п/п. Помеха
выявляется следующим образом: сигнал полярности полупериода, считанный в
текущем цикле рабочей программы, запоминается в переменной Ppol (блок 4) и
сравнивается со значением сигнала полярности Ppol_1 из предыдущего цикла. В
случае их совпадения делается вывод о ложности сигнала (блок 5) и действие
программы повторяется с блока 2. При условии смены знака полупериода
начинается цикл выполнения основной программы.
В блоке 6 осуществляется ввод заданий с пульта машиниста, ввод данных с
датчиков слежения и коммутации. В блоке 7 осуществляется целочисленное
деление Uупр на девять без округления (от 0 до 9 диапазон напряжения управления
на каждой зоне регулирования), далее блок 8 осуществляет выделение целой
части, которая будет соответствовать номеру зоны (номера зон считаются от 0 до
3), в блоке 9 выделяется остаток от деления, который и соответствует значению
напряжения управления (Uупр1) на конкретной зоне регулирования.
Блок 10 реализует интенсивность задания нарастания/снижения тока ТЭД.
Плавность сброса р обеспечивается задатчиком интенсивности, который
сравнивает текущее задание со считанным заданием управляющего воздействия и
если последнее меньше то уменьшает угол регулирования со скоростью 47 эл.
град/сек. Аналогично, если значение задания, хранящегося вспомогательной
ячейке, превышает значение кода управляющего воздействия, считанного при
вводе в блоке 6, то осуществляется плавное увеличение с темпом 47 эл. град/сек.
Затем формируется угол регулирования αр и номер зоны регулирования в
соответствии с заданным значением (блок 11). Далее выполняется ограничение
регулируемого угла αр по максимальному значению (блок 12).
110
На первой зоне регулирования максимальная фаза угла р слева
ограничивается величиной 0, а на высших зонах 03 и осуществляется в блоке 13.
Справа р ограничивается на первой зоне регулирования значением 21 эл. град.
(угол отсчитывается от 180 эл. град.). На остальных зонах, со 2-ой по 4-ю, величина
фазы угла ограничения по минимуму составляет 26 эл. град. Далее происходит
проверка номера зоны, например, если N=0 (блок 14), что соответствует первой
зоне, то реализуется алгоритм ее работы (блок 15), если проверка имеет
отрицательный результат, то происходит дальнейшая проверка номера зоны (блок
16) до тех пор, пока искомое значение не станет истинным и не будет выбран
необходимый алгоритм работы. Завершающим этапом работы алгоритма является
передача сигналов управления на выходные усилители (блок 21).
Сигнал
прямо
пропорциональный
длительности
коммутации
Uγ
вырабатывается датчиками угла коммутации и используется для формирования
импульса управления β = γ + δ. Где коммутация γ состоит из γ' – коммутации в
большом контуре и γ'' – коммутации в малом контуре, γ = γ' + γ''. Благодаря
слежению за Uγ (блок 22) по отсутствию одного из контуров коммутации с
необходимой и достаточной точностью можно утверждать о наличии пропуска
импульса управления на одно из тиристорных плеч инвертора (блок 23).
Далее осуществляется контроль за скоростью нарастания тока в цепи
инвертора (блок 24), это необходимо для выявления аварийного плеча. Если
скорость нарастания тока инвертора превышает полученное значение скорости
нарастания в предыдущем полупериоде в 3,5 и более раза, то это свидетельствует
о том, что отсутствует γ' (блок 26). И на оборот, если скорость нарастания тока
инвертора превышает полученное значение скорости нарастания в предыдущем
полупериоде менее чем в 3,5 раз, то это свидетельствует о том, что отсутствует γ''
(блок 26'). На основе полученных данных определяется аварийное плечо
инвертора (блок 27) и заменяется другим согласно разработанному алгоритму
управления (блок 28), представленному в таблице 2.2 [103, 101, 100, 104, 106, 95].
111
4.3.5 Математическая модель цепи выпрямленного тока в режиме
рекуперативного торможения
В электровозе серии 2ЭС5К каждый ВИП в режиме рекуперативного
торможения подключен к цепи выпрямленного тока, состоящую из двух
параллельных ветвей, каждая из которых включает в себя: ТЭД работающий в
режиме генератора, двух сглаживающий реактор и секцию блока балластных
резисторов (ББР) [116].
Для получения электромагнитных процессов, соответствующих процессам,
протекающим в действительности на электровозе, модель должна учитывать ряд
особенностей,
характеристика,
свойственных
ТЭД.
нелинейная
Таких
зависимость
как
нелинейная
индуктивностей
магнитная
обмоток
от
протекающего по ним тока и влияние на переходные процессы вихревых токов,
возникающих в стали магнитных полюсов [22, 40, 45].
При создании математической модели, цепь выпрямленного тока заменяем
эквивалентной схемой, содержащей эквивалентные активное сопротивление rd,
индуктивность Ld и ЭДС ТЭД. Для анализа электромагнитных процессов
параметры всех ТЭД принимаются одинаковыми. Исходя из этого эквивалентные
индуктивность Ldэ и активное сопротивление rdэ цепи выпрямленного тока
определим по следующим выражениям
1
𝐿𝑑э = (𝐿𝐶𝑃+𝐿Я + 𝐿ДП + 𝐿КО),
2
1
𝑟𝑑э = 2 (𝑟𝐶𝑃 + 𝑟Я + 𝑟ДП + 𝑟КО + 𝑟б),
где
(4.38)
(4.39)
LСР, rСР индуктивность и активное сопротивление сглаживающего
реактора;
LЯ, rЯ индуктивность и активное сопротивление обмотки якоря;
LДП,
rДП

индуктивность
и
активное
сопротивление
обмоток
дополнительных полюсов;
LКО, rКО  индуктивность и активное сопротивление компенсационной
обмотки двигателя;
rб  балластное активное сопротивление в цепи каждого ТЭД для
112
обеспечения электрической устойчивости работы инвертора.
Известно, что ТЭД используемый на электровозах, работает в пределах всей
магнитной характеристики обмоток, включая зону насыщения. Поэтому
зависимость магнитного потока от тока является нелинейной. Следовательно,
индуктивность обмоток ТЭД также будет переменной величиной, зависящей от
тока соответствующей обмотки (динамическая индуктивность). В модели
зависимость эквивалентной индуктивности цепи выпрямленного тока от
величины выпрямленного тока LdЭ = f (iя) определяется как
𝐿
𝑑э
= 𝐿 (𝑖 ) +
𝑑
я
𝑑𝐿𝑑(𝑖я)
𝑑𝑖я
𝑖 .
(4.40)
я
Схема замещения цепи выпрямленного тока для режима рекуперативного
торможения приведена на рисунке 4.16. В этом режиме ТЭД является генератором
и имеет независимое возбуждение, питание обмотки возбуждения осуществляется
от ВУВ, подключенной к отдельной секции вторичной обмотки тягового
трансформатора электровоза.
В качестве прототипа модели ТЭД принят двигатель НБ-514Б [106]. На
схеме (рисунок 4.18) приняты следующие обозначения:
iя, iвув – ток якоря и ток возбуждения соответственно;
rdэ, Ldэ – эквивалентные активное сопротивление и индуктивность цепи
выпрямленного тока соответственно;
rв, Lв, iв – активное сопротивление, индуктивность и ток обмотки
возбуждения соответственно;
rпш, iпш – активное сопротивление и ток резистора постоянной шунтировки
соответственно;
rб – активное сопротивление ББР.
113
i
+
-
BУВ
-
к ВИП
+
L
i
r
BX
B
i
B
r
i
BX
r
B
dэ
к ВУВ
L
Е
ПШ
r
ПШ
BX
+
i
Ldэ
rб
BУВ
Рисунок 4.16 – Схема замещения цепи выпрямленного тока ВИП в режиме
рекуперативного торможения
Резистор постоянной шунтировки rпш предназначен для снижения
переменной составляющей тока возбуждения, поскольку для нее он обладает
меньшим сопротивлением, чем сама обмотка возбуждения. За счет этого
резистора обеспечивается постоянное ослабление магнитного поля на 4 %.
Элементы Lвх и rвх создают контур, который служит для учета влияния
вихревых токов (рисунок 4.15)
По данным значения активных сопротивлений обмоток двигателя НБ-514Б
составляют:
- якорная обмотка rd = 0,0237 Ом (с учетом обмоток добавочных полюсов и
компенсационной обмотки);
- обмотка возбуждения rв = 0,0069 Ом.
Значение сопротивления ББР согласно технической документации (ББР-64)
принимается rб = 0,143 Ом.
Значение резистора rпш может быть определено по формуле
𝑟
пш
=𝑟
в
𝛽0
,
1 − 𝛽0
где β0 – коэффициент постоянного ослабления возбуждения.
(4.41)
114
Для приведенных выше значений активных сопротивлений обмоток и
β0 = 0,98 получим величину rпш ≈ 0,294 Ом
Электромагнитные переходные процессы в цепи выпрямленного тока в режиме
рекуперативного торможения, во время коммутационных интервалов большого и
малого контуров инвертора, описываются уравнениями (рисунок 4.15):
 для интервала коммутации большого контура
𝑑𝑖я
𝑑𝑡
=
𝐶Ф𝑉−𝑖я(𝑟2+𝑟4+𝑟𝑑э)−𝑒2−𝑒4−𝑖𝑘1(𝑟2+𝑟4)
𝐿2+𝐿4+𝐿𝑑э−𝑀12−𝑀14+𝑀23+𝑀34
,
(4.42)
 для интервала коммутации малого контура
𝑑𝑖я = 𝐶Ф𝑉 − 𝑖я(𝑟3 + 𝑟𝑑э) + 𝑒3
𝑑𝑡 𝐿 + 𝐿 − 𝑀 + 𝑀 + 𝑀
3
𝑑э
13
23
.
(4.43)
34
Электромагнитные переходные процессы в цепи обмотки возбуждения
описываются уравнениями
𝑑𝑖в
𝑑𝑡
=
𝑟пш(𝑖пш+𝑖к−𝑖в)−𝑟в𝑖в
𝐿в
𝑑𝑖вх
𝑑𝑡
𝑑Ф
𝑑𝑡
где
=−
=−
,
𝑖вх𝑟вх
𝐿вх
(4.45)
,
𝑟вх(𝐹−𝑖в𝑤в)
2𝑝𝑤вх
(4.44)
,
(4.46)
𝐹 = 𝑖в𝑤в + 𝑖вх𝑤вх,
(4.47)
Ф = 𝑓(𝐹),
(4.58)
𝑤 – число витков обмотки;
С – постоянная электрической машины;
Ф – магнитный поток двигателя;
V – скорость электровоза;
F – магнитодвижущая сила (МДС).
В пакете MatLab электрическую машину постоянного тока моделирует блок
DCMachine. Вся же цепь выпрямленного тока, подключенная к одному
115
преобразователю, состоит из двух параллельных ветвей, каждая из которых
включает в себя: сглаживающий реактор (L1/L2), генератор (G1/G2) и балластный
резистор (R1ББР/R2ББР), её блок-схема, выполненная в Simulink, приведена на
рисунке 4.17.
L2
L1
moment
TL
A+
к ВИП
+
dc
F–
G2
m
TL
A–
A+
F+
F–
m
dc
A–
F+
+
–
–
R1ББР
к ВУВ
G1
R2ББР
Рисунок 4.17 – Блок-схема цепи выпрямленного тока в редакторе Simulink
Порты модели А+ и А- являются выводами якорных (генераторных) обмоток
машины, а порты F+ и F- представляют собой выводы обмотки возбуждения. Порт
TL предназначен для задания момента сопротивления движению. На выходном
порту m формируется векторный сигнал, состоящий из четырех элементов: частота
вращения, ток якоря, ток возбуждения и электромагнитный момент машины.
На электровозе серии 2ЭС5К установлены сглаживающие реакторы (СР)
типа РС-19, которые представляют собой активно-индуктивную нагрузку с параметрами [116]:
- активное сопротивление rСР = 0,0182 Ом;
- индуктивность LСР = 11,7 мГн.
Разработанная математическая модель «Тяговая подстанция – контактная
сеть – электровоз» в программном комплексе «MatLab», позволяет исследовать
116
электромагнитные процессы ВИП электровоза: при штатной работе преобразователя и аварийной, вызванной пропусками импульсов управления, а также при
предлагаемом способе защиты от развития аварийного режима (короткого замыкания). Реализация предлагаемого способа позволила без каких-либо изменений в
силовой части преобразователя исключить образования сверхтоков и сохранить
работоспособность режима рекуперативного торможения, не вызывая при этом
срабатывания штатных аппаратов защиты силовой цепи электровоза.
117
5 РЕЗУЛЬТАТЫ
МАТЕМАТИЧЕСКОГО
МОДЕЛИРОВАНИЯ
ЭЛЕКТРОМАГНИТНЫХ ПРОЦЕССОВ ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНОГО
ПРЕОБРАЗОВАТЕЛЯ ЭЛЕКТРОВОЗА В РЕЖИМЕ РЕКУПЕРАТИВНОГО
ТОРМОЖЕНИЯ
5.1 Оценка адекватности электромагнитных процессов, наблюдаемых при
работе электровоза и математической модели в режиме рекуперативного
торможения
Для получения адекватных результатов при последующем сравнении параметров ВИП с использованием штатного и предлагаемого алгоритмов управления,
необходимо сопоставить протекающие электромагнитные переходные и установившиеся процессы разработанной математической модели реальным процессам
на электровозе и в контактной сети.
В качестве реальных электромагнитных процессов протекающих в ВИП,
были приняты результаты экспериментальных исследований работы электровоза,
полученных в ходе проведения тягово-энергетических испытаний электровоза серии 3ЭС5К на участке Иркутск-Сортировочный – Большой Луг – Андриановская
(ОТЧЕТ №-ТЭЛ.094-01-2019 Тягово-энергетических испытаний по определению
возможности вождения грузовых контейнерных поездов составностью до 350 осей
тягой электровозами серии 3ЭС5К на участке Мариинск Красноярской ж.д. – Тайшет Восточно-Сибирской ж.д. – Карымская Забайкальской ж.д. – Хабаровск Дальневосточной ж.д. – Уссурийск Дальневосточной ж.д. в четном и нечетном направлениях, согласно телеграфного указания № исх-2414 от 11.02.2019 ЦЗ-ЦТ О.С. Валинского) и сопоставлены для сравнения с результатами математического моделирования при одинаковых условиях.
При сравнении выбран режим рекуперативного торможения электровоза с
параметрами:
- напряжение тяговой подстанции 27,5 кВ;
- расстояние от электровоза до тяговой подстанции 20 км;
- 3,5 зоны регулирования, типовой алгоритм управления ВИП электровоза в
рекуперативные торможения;
118
- регулируемый угол открытия тиристоров αр = 85 эл. град.
- угол запаса инвертора δ = 35 эл. град.
В качестве критериев сравнения электромагнитных процессов, полученных на математической модели и в ходе эксплуатационных исследований электровоза, были выбраны следующие: напряжение и ток ВИП (Uвип, Iвип), длительность
сетевой коммутации (γ), длительность фазовой коммутации (γр), а также коэффициент относительной пульсации (КПО). Коэффициент относительной пульсации
выпрямленного тока рассчитывается по формуле
Кпо_𝐼ВИП =
где
∆𝑖ВИП
𝐼ВИП
(5.1)
,
IВИП – среднее значение выпрямленного тока ВИП;
∆iВИП – переменная составляющая выпрямленного тока ВИП.
На рисунке 5.1 приведены диаграммы мгновенных значений напряжения и
тока первичной обмотки тягового трансформатора.
а)
б)
Рисунок 5.1 – Диаграммы мгновенных значений напряжения и тока
первичной
обмотки
эксплуатационных
моделировании
тягового
трансформатора:
исследований;
(б)
(а)
полученные
полученные
при
в
ходе
математическом
119
На рисунке 5.2 в сравнении приведены диаграммы мгновенных значений
тока и напряжения ВИП.
а)
б)
Рисунок 5.2 – Диаграммы мгновенных значений выпрямленного и тока
ВИП: (а) полученные в ходе эксплуатационных исследований; (б) полученные при
математическом моделировании
Данные необходимые для проведения оценки адекватности результатов, полученных в ходе эксплуатационных испытаний электровоза серии 2ЭС5К и математического моделирования занесены в таблицу 5.1.
120
Таблица 5.1 – Сравнение параметров полученных при эксперименте и
математическом моделировании
Эксплуатационные испытания
Математическое
моделирование
Относительная погрешность, %
Iвип, А
1710
1580
8,23
Uвип, В
1018
1070
5,11
δ, эл. град.
35
35
–
αр, эл. град.
85
85
–
γ, эл. град.
11
10,5
4,5
γр, эл. град.
24
25
4,2
КПО
0,20
0,19
5,26
Параметр
Относительная погрешность при оценке тока ВИП (IВИП) составила 8,23%,
напряжения ВИП (UВИП) 5,11 %, длительности сетевой коммутации (γ) 4,5%, при
фазовой коммутации (γр) 4,2%, коэффициента относительной пульсации (КПО)
5,8%, что не превышает допустимую погрешность (10 %) при проведении математического моделирования.
Таким образом, разработанная математическая модель электровоза переменного тока является пригодной для проведения исследований электромагнитных процессов в системе «Тяговая подстанция – контактная сеть – электровоз» в
режиме рекуперативного торможения.
5.2 Сравнительное исследование результатов математического моделирования
работы электровоза с типовым и предлагаемым алгоритмами управления ВИП при
пропусках импульсов управления
Для сравнения полученных электромагнитных процессов математической
модели электровоза с типовым и предлагаемым алгоритмами управления ВИП,
необходимо для всех случаев задаться равными параметрами модели. Также для
121
исключения искажения формы кривой напряжения контактной сети и влияния на
происходящие переходные процессы, принимается, что на фидерной зоне участка
контактной сети находится один электровоз.
5.2.1 Моделирование работы электровоза с типовым и предлагаемым
алгоритмами управления ВИП в режиме рекуперативного торможения на примере
IV зоны регулирования
При проведении математического моделирования заданы следующие параметры модели тяговой подстанции, контактной сети и электровоза в режиме рекуперативного торможения:
Тяговой подстанции
- напряжение на выходах тяговой подстанции U1ТП = 27,5 кВ.
Контактной сети
- расстояние от тяговой подстанции до электровоза lтп = 20 км.
Электровоза
- скорость движения электровоза V = 75 км/ч;
- регулируемый угол открытия тиристоров ВИП αр = 90 эл. град.;
- угол запаса инвертора δ = 35 эл. град.;
- регулируемый угол открытия тиристоров ВУВ αрВУВ = 65 эл. град.;
- ток ВУВ iВУВ = 880 А;
- пропуск импульса управления β с эмитирован на тиристорные плечи VS2
и VS7 всех ВИП электровоза.
В качестве результатов моделирования представлены электромагнитные процессы при аварийной работе ВИП вызванной пропусками импульсов управления с
типовым и предлагаемым алгоритмами управления в режиме рекуперативного торможения на примере IV зоны регулирования, на рисунках 5.3-5.5 при пропуске VS2,
на рисунках 5.6-5.8 при пропуске VS7.
а)
Рисунок 5.3 – Форма кривых напряжения u1 и тока i1 в первичной обмотке тягового трансформатора электровоза
при аварийной работе ВИП на примере IV зоны регулирования при одинаковой нагрузке и параметрах работы
электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм управления
122
б)
а)
Рисунок 5.4 – Диаграммы электромагнитных процессов при аварийной работе ВИП на примере IV зоны
регулирования при одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления;
б) предлагаемый алгоритм управления
123
б)
а)
Рисунок 5.5 – Диаграммы токов плеч ВИП при аварийной работе на примере IV зоны регулирования при
одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм
управления
124
б)
а)
Рисунок 5.6 – Форма кривых напряжения u1 и тока i1 в первичной обмотке тягового трансформатора
электровоза при аварийной работе ВИП на примере IV зоны регулирования при одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм управления
125
б)
а)
Рисунок 5.7 – Диаграммы электромагнитных процессов при аварийной работе ВИП на примере IV зоны
регулирования при одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления;
б) предлагаемый алгоритм управления
126
б)
а)
Рисунок 5.8 – Диаграммы токов плеч ВИП при аварийной работе на примере IV зоны регулирования при
одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм
управления
127
б)
а)
б)
128
в)
Рисунок 5.9 – Формы кривых напряжения ДУК uγ при работе ВИП на примере IV зоны регулирования при
одинаковой нагрузке и параметрах работы электровоза: а) штатная работа б) типовой алгоритм управления при
пропуске импульса управления; в) предлагаемый алгоритм управления при пропуске импульса управления
129
5.2.2 Моделирование работы электровоза с типовым и предлагаемым
алгоритмами управления ВИП в режиме рекуперативного торможения на примере
II зоны регулирования
При проведении математического моделирования заданы следующие параметры модели тяговой подстанции, контактной сети и электровоза в режиме рекуперативного торможения:
Тяговой подстанции
- напряжение на выходах тяговой подстанции U1ТП = 27,5 кВ.
Контактной сети
- расстояние от тяговой подстанции до электровоза lтп = 20 км.
Электровоза
- скорость движения электровоза V = 20 км/ч;
- регулируемый угол открытия тиристоров ВИП αр = 90 эл. град.;
- угол запаса инвертора δ = 35 эл. град.;
- регулируемый угол открытия тиристоров ВУВ αрВУВ = 65 эл. град.;
- ток ВУВ iВУВ = 880 А;
- пропуск импульса управления β с эмитирован на тиристорные плечи VS2
и VS5 всех ВИП электровоза.
В качестве результатов моделирования представлены электромагнитные процессы при аварийной работе ВИП вызванной пропусками импульсов управления с
типовым и предлагаемым алгоритмами управления в режиме рекуперативного торможения на примере II зоны регулирования, на рисунках 5.10-5.12 при пропуске
VS2, на рисунках 5.13-5.15 при пропуске VS5.
а)
Рисунок 5.10 – Форма кривых напряжения u1 и тока i1 в первичной обмотке тягового трансформатора электровоза
при аварийной работе ВИП на примере II зоны регулирования при одинаковой нагрузке и параметрах работы
электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм управления
130
б)
а)
Рисунок 5.11 – Диаграммы электромагнитных процессов при аварийной работе ВИП на примере II зоны
регулирования при одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления;
б) предлагаемый алгоритм управления
131
б)
а)
Рисунок 5.12 – Диаграммы токов плеч ВИП при аварийной работе на примере II зоны регулирования при
одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм
управления
132
б)
а)
Рисунок 5.13 – Форма кривых напряжения u1 и тока i1 в первичной обмотке тягового трансформатора
электровоза при аварийной работе ВИП на примере II зоны регулирования при одинаковой нагрузке и параметрах
работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм управления
133
б)
а)
Рисунок 5.14 – Диаграммы электромагнитных процессов при аварийной работе ВИП на примере II зоны
регулирования при одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления;
б) предлагаемый алгоритм управления
134
б)
а)
Рисунок 5.15 – Диаграммы токов плеч ВИП при аварийной работе на примере II зоны регулирования при
одинаковой нагрузке и параметрах работы электровоза: а) типовой алгоритм управления; б) предлагаемый алгоритм
управления
135
б)
136
5.2.3 Анализ полученных результатов моделирование работы электровоза
с типовым и предлагаемым алгоритмами управления ВИП в режиме рекуперативного
торможения при пропусках импульсов управления
Рассмотрим более подробно полученные кривые на примере IV зоны регулирования. При пропуске управляющего импульса β на тиристорные плечи VS2 (рисунки 5.3-5.5), в следующий полупериод напряжения вторичной обмотки ТТ происходит образование короткого замыкания, что приводит к высокой интенсивности
нарастания тока в силовых цепях электровоза. Через два периода величина тока достигнет уставки быстродействующих выключателей, что вызовет их срабатывание,
вследствие чего процесс инвертирования будет сорван и электровоз резко потеряет
тормозной эффект. Дальнейшее следование поезда будет осуществляться с применением только пневматического торможения. Отсутствие резервного – электрического торможения на электроподвижном составе, в значительной степени снижает
безопасность движения поездов и является недопустимым.
При пропуске управляющего импульса β на тиристорное плечо VS7 (рисунки
5.6-5.8), в следующий полупериод напряжения вторичной обмотки ТТ происходи
образование короткого замыкания генераторов, но не с начала полупериода, а с момента подачи импульса управления αр. Через три периода величина тока также достигнет уставки быстродействующих выключателей, но в отличии от первого случая из-за образования короткого замыкания только после подачи импульса управления αр, интенсивность нарастания тока в цепи преобразователя будет меньше.
По результатам моделирования аварийных процессов инвертора электровоза при пропусках управляющих импульсов β на тиристорные плечи VS2 и VS7,
были получены: токи якорных обмоток ТЭД Iя, длительности коммутации тиристоров плеч инвертора γ и скорости нарастания тока якорей генераторов diя/dt,
А/мс, в аварийном и штатном режимах работы (таблица 5.2).
137
Таблица 5.2 – Данные сигналов ДУК и датчиков тока якорей при типовой и
аварийной работах инвертора электровоза переменного тока при математическом
моделировании на примере IV зоны регулирования
Ток инвертора
Ii , А
Длительность коммутации γ, мс
γ = γ' + γ''
γ = γ''
Скорость нарастания тока инвертора diя/dt, А/мс
γ = γ'
(штатно) (отказ VS2) (отказ VS7) (штатно) (отказ VS2) (отказ VS7)
300
0,53
0,18
0,28
31,68
112,1
79,21
350
0,55
0,2
0,3
30,05
114,6
80,97
400
0,57
0,21
0,31
28,43
116,7
81,64
450
0,6
0,24
0,35
27,25
118,2
82,44
500
0,63
0,27
0,38
27,01
120,3
83,01
550
0,66
0,29
0,41
26,81
121,5
83,95
600
0,71
0,31
0,43
25,9
122,1
84,31
650
0,76
0,35
0,48
26
122,9
85,94
700
0,83
0,38
0,52
26,62
123,3
86,04
750
0,89
0,43
0,6
27,27
124,8
87,03
800
0,96
0,49
0,67
28,01
125,91
88,4
На II зоне регулирования напряжения (рисунки 5. 10-5.15) электромагнитные процессы ВИП протекают аналогично IV зоне, также моделирование проводилось и для III зоны регулирования. Математическое моделирование не проводилось только для I зоны регулирования, т. к. для реализации предлагаемого технического решения необходима установка дополнительных ДУК. Это является не
целесообразным учитывая тот факт, что рекуперативное торможение на I зоне регулирование применяется крайне редко.
На основании данных, полученных в ходе моделирования о длительности
коммутации в аварийном и штатном режимах работы, построены графики зависимости тока инвертора от длительности коммутации (рисунок 5.16).
138
Длительность коммутации γ
1,2
мс
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0
300
При штатной работе инвертора
При отказе VS7
При отказе VS2
400
350
450
500
550
600
650
700
А
800
Ток якоря тягового электродвигателя IЯ
Рисунок 5.16 – Зависимость длительности коммутации от тока инвертора
В результате анализа длительности коммутации тока ВИП электровоза установлено, что при аварийной работе преобразователя вызванной пропуском импульса управления происходит значительное уменьшение длительности коммутации по сравнению с штатной работой [104].
На основании данных полученных в ходе математического моделирования о
скоростях нарастания тока инвертора в штатном и аварийном режимах работы, построен график зависимости скорости нарастания тока инвертора от величины этого
Скорость нарастания тока якоря ТЭД di/dt
тока (рисунок 5.17).
140
А/мс
120
110
При отказе VS2
100
90
80
70
При отказе VS7
60
50
40
30
20
При штатной работе инвертора
10
0
350
400
450
500
550
600
650
300
700
А
800
Ток якоря тягового электродвигателя IЯ
Рисунок 5.17 – Зависимость скорости нарастания тока якоря от его величины
139
В результате анализа скоростей нарастания токов инвертора электровоза
установлено, что при аварийной работе преобразователя вызванной отказам тиристорного плеча VS2 происходит резкое увеличение значения силы тока инвертора
до 125,91 А/мс, которое превышает в 4,5 раза значение при штатной работе 28,01
А/мс. Однако при отказе тиристорного плеча VS7 происходит увеличение значения силы тока, но с меньшей интенсивностью 88,4 А/мс, которое превышает в 3,2
раза значение при штатной работе 28,01 А/мс. Разность между скоростями нарастания тока предлагается использовать как критерий для определения отказавшего
тиристорного плеча. Если скорость нарастания тока инвертора текущего полупериода (dig/dt)(n+1) превышает скорость нарастания тока инвертора в предыдущем
полупериоде (dig/dt)n в 3,5 и более раза, это говорит от том, что отказало тиристорное плечо участвующее в большом контуре коммутации γ', если разность скоростей нарастания меньше чем в 3,5 раза, то отказало тиристорное плечо участвующее в малом контуре коммутации γ''.
Предложенный способ определения пропусков импульсов управления позволяет с достаточной точностью предсказать образование режима короткого замыкания в силовых цепях электровоза. Данное техническое решение предлагается использовать для выявления тиристорных плеч инвертора электровоза не принявших токовую нагрузку для их дальнейшего резервирования, тем самым исключая режим короткого замыкания и поддерживая силовые цепи электровоза в рабочем состоянии.
Для оценивания работоспособного состояния ВИП электровоза при работе
согласно предлагаемому алгоритму управления, предлагается использовать коэффициента относительной пульсации выправленного тока. В таблице 5.2 в сравнении
приведены значения коэффициента относительной пульсации выпрямленного тока
ВИП при типовом и предлагаемом алгоритмах управления в режиме рекуперативного торможения, полученные в результате математического моделирования.
140
Таблица 5.2 – Коэффициент относительной пульсации тока ВИП при
математическом моделировании работы электровоза с типовым и предлагаемым
алгоритмами управления ВИП в режиме рекуперативного торможения
Номер зоны
αр,
эл. град.
Iя, А
Кпо_Iя, отн.ед.
Штатный алгоритм
Предлагаемый алгоритм
Δ, %
2
90
820
0,3112
0,4212
11
2
120
870
0,3167
0,4331
11,64
3
90
815
0,3615
0,4948
13,33
3
120
870
0,3983
0,4998
10,15
4
90
840
0,4121
0,5212
10,91
Результаты математического моделирования работы ВИП электровоза переменного тока с предлагаемым алгоритмом управления в режиме рекуперативного
торможения, подтверждают эффективность предложенного технического решения при пропусках импульсов управления на тиристорные плечи преобразователя.
Также исследовался случай при которой совместно с предлагаемым алгоритмом управления осуществлялось регулирование тока возбуждения по каналу
ВУВ. Результаты моделирования снесены в таблицу 5.3.
Таблица 5.3 – Результаты математического моделирования при работе
согласно предлагаемому алгоритму управления с регулированием тока возбуждения
№
зоны
αр,
αр_ВУВ, α'р_ВУВ, α''р_ВУВ,
эл.
эл.
эл.
эл.
град.
град.
град.
град
Предлагаемый
Штатный алгоритм
алгоритм управления
управления
Отказ VS2
IВ, А
Ig, А
IВ, А
Ig, А
Отказ VS7/5
ΔIВ,
%
IВ, А
Ig, А
ΔIВ,
%
II
90
65,3
67
65,3
870
792,2
857,2
794,3
1,48
870
778,6
-
II
120
66,5
69,2
66,3
870
716,7
791,7
714,5
9,00
870
710
-
III
90
66,5
75,1
76
870
702,6
730
704,4 16,09 710,1
700,8
18,39
III
120
77,43
77,8
78,5
400
681,9
396,4
681,9
0,9
345,3
689,5
13,67
IV
90
80
80
80,2
320
472,5
320
475,1
-
312,8
478,2
2,25
αр_ВУВ – регулируемый угол открытия тиристоров ВУВ, при штатной работе
ВИП;
α'р_ВУВ – регулируемый угол открытия тиристоров ВУВ, при работе согласно
141
предлагаемому алгоритму управления, при пропуске импульсов управления на тиристорное плечо VS2 ВИП;
α''р_ВУВ – регулируемый угол открытия тиристоров ВУВ, при работе согласно
предлагаемому алгоритму управления, при пропуске импульсов управления на тиристорное плечо VS7 ВИП.
Исследование показало, что снижение тока возбуждения регулированием ВУВ
в среднем на 6% позволяет сохранить тормозную силу электровоза неизменной.
5.3 Технико-экономическая оценка разработанного способа повышения
работоспособности
ВИП
электровоза
переменного
тока
в
режиме
рекуперативного торможения
На сегодняшний день рекуперативное торможение является одним из важных критериев в экономии электроэнергии на железнодорожном транспорте за
счёт генерации и отдачи электрической энергии в систему тягового энергоснабжения при ведении поезда по участку. Однако, такой вид торможения не всегда стабилен и существует вероятность сбоя, при котором дальнейшая рекуперация невозможна. Предлагаемый алгоритм управления ВИП позволяет повысить работоспособность режима рекуперативного торможения электровоза переменного тока
при пропусках импульсов управления, являющиеся причиной срыва режима рекуперативного торможения в 60 % случаев, сохраняя тормозной эффект и возврат
электрической энергии электровозом в систему тягового электроснабжения.
Для реализации данного технического решения необходима модернизация
ПО системы управления тяговым приводом электровоза, такая модернизация
предусматривается только при крупных видах ремонтов (ТР-3, СР).
5.3.1 Расчет капитальных затрат на модернизацию ПО МСУД электровоза
переменного тока серии 3ЭС5К
Капитальные вложения (КВ) включают в себя проведение научно-исследовательской и опытно конструкторской работы (НИОКР), трудозатраты на установку разработанного ПО МСУД электровозов.
142
КВ на проведение НИОКР, рассчитываются по формуле
КНИОКР = ЗТП + ЗИС +ЗТД + ЗН,
где
(5.2)
ЗТП – затраты на разработку алгоритма и программного кода, 2 050 тыс. руб.;
ЗИС – затраты на проведение испытаний, 2 700 тыс. руб. ;
ЗТД – затраты на изготовление техдокументации, 950 тыс. руб. ;
Зн – затраты по накладным расходам, 430 тыс. руб.
КНИОКР = 2 050 + 2 700 + 950 + 430 = 6 130 тыс. руб.
Таблица 5.4 – Тарифная заработная плата за установку ПО
Наименование вида
Разряд ра-
Трудоемкость,
Часовая тарифная
работ
бот
чел. час
ставка, руб.
6
48
147,86
Наименование вида
Разряд ра-
Трудоемкость,
Часовая тарифная
работ
бот
чел. час
ставка, руб.
6
36
147,86
Сумма, руб.
Установка и настройка
ПО на один электровоз
7097,28
серии 3ЭС5К
Сумма, руб.
Диагностика установленного ПО на один
электровоз серии
5322,96
3ЭС5К
Итог: Тарифная заработная плата
(Сс ) , руб.
12 420,76
Фонд оплаты труда (ФОТ) работников рассчитывается по формуле
ФОТ  Еозп  Едзп,
где
(5.3)
Еозп – основная заработная плата;
Едпз – дополнительная заработная плата.
Основная и дополнительная заработные платы рассчитываются по формулам
где
Еозп  Сс  (1  K n )  (1  K p  K c ) ,
(5.4)
Е дзп  0,09  Е озп ,
(5.5)
Кn – коэффициент премии (30 %);
143
Кp – районный коэффициент (30 %);
Кс – северный коэффициент (30 %).
Подставив численные значения в формулы (5.4), (5.5) получим
Еопз = 12 420,76·(1+0,3)·(1+0,3+0,3) = 25,8 тыс.руб.
Едпз = 0,09·25,8 = 2,32 тыс.руб.
Подставив численные значения в формулу (5.3) получим
ФОТ = 25,8 + 2,32 = 28,12 тыс. руб.
Отчисления на социальные нужды Eсоц определяются по формуле
Есоц  0,3  ФОТ
,
(5.6)
где 0,3 – страховые взносы (пенсионный фонд – 22 %; Федеральный фонд обязательного медицинского страхования (ФФОМС) – 5,1 %; фонд социального
страхования (ФСС – 2,9 %).
Подставив численные значения в формулу (5.6) получим
Eсоц = 0,3 · 28,12 = 8,43 тыс. руб.
В итоге КВ определяется по формуле
КВ (НИОКР) = ФОТ + ЕСОЦ + КНИОКР,
(5.7)
Подставив численные значения в формулу (4.17) получим общие затраты на
модернизацию ПО МСУД эксплуатируемого парка электровоза серии 3ЭС5К
(125 единиц на конец 2018 г.) с учетом проведения НИОКР
КВ (НИОКР) = (28,12 + 8,43) ·137 + 6130 = 11 137,35 тыс. руб.
Капитальные вложения в расчете на один трехсекционный электровоз составляют 81,3 тыс. руб.
5.3.2 Расчет годового экономического эффекта от внедрения разработанного
алгоритма повышающего работоспособность режима рекуперативного торможения
электровоза переменного тока
Для анализа причин отказов были использованы данные, предоставленные
структурным подразделением сервисное локомотивное депо Братское филиал
«Восточно-Сибирский» ООО «ЛокоТех-Сервис», о постановке электровозов серии
144
3ЭС5К на внеплановый ремонт за 2017-2018 год. На рисунке 5.18 представлена диаграмма количества отказов электронного оборудования электровозов серии 3ЭС5К
Количество отказов, ед.
за 2017 год.
18
16
14
12
10
8
6
4
2
0
13
14
12
13
11
11
10
9
4
3
2
16
15
14
9
4
3
1
2
1
0
3
4
1
Количество отказов электронного обоорудования электровоза
Количество отказов электронного обоорудования электровоза
в режиме рекуперативного торможения
Рисунок 5.18 – Количество отказов электронного оборудования электровозов
серии 3ЭС5К за 2017 г.
После подробного анализа отказов электронного оборудования и записей в бортовых журналах электровоза, были выделены случаи (28 случаев за 2017 г.), которые
привели к срыву режима рекуперативного торможения.
Эксплуатируемый парк электровозов серии 3ЭС5К приписанных к эксплуатационному локомотивному депо Вихоревка Восточно-Сибирской дирекции тяги на
2017 г. насчитывал 98 единиц. *(Данные предоставлены Восточно-Сибирской дирекцией тяги). Зная количество отказов электронного оборудования электровозов в режиме рекуперативного торможения за 2017 г. и эксплуатируемый парк локомотивов,
рассчитаем процент отказов (𝐷%) электронного оборудования электровозов в режиме рекуперативного торможения относительно эксплуатируемого парка электровозов по формуле
Dрт
D% =
Nэ
 100 %,
(5.8)
145
где Dрт – количество отказов электронного оборудования электровоза в режиме
рекуперативного торможения по причине пропусков импульсов управления на тиристорные плечи ВИП, 17 отказов (60% от общего количества отказов электронного оборудования в режиме рекуперативного торможения);
Nэ – эксплуатируемый парк электровозов серий 3ЭС5К, 98 единиц.
17
D% =
98
 100 % = 17,3 %.
Из предоставленных данных Восточно-Сибирской дирекцией тяги, известно
годовое количество рекуперируемой электрической энергии электровозами серий
3ЭС5К приписки эксплуатационного депо Вихоревка за 2017 г., которое составляет 119 245,4 тыс. кВт/ч (ОТЧЕТ №-ТЭЛ.092.05-2014 Тягово-энергетических испытаний электровоза переменного тока 3ЭС5К № 166 на участке Тайшет-Таксимо). Исходя из того, что при отказе электронного оборудования электровоза в
режиме рекуперативного торможения, данный режим не применялся в течение
года в 17,3% случаях, рассчитаем количество недорекуперируемой электроэнергии по формуле
∑ртгод
kпрт =
где
100%
 D %,
(5.9)
∑ртгод – суммарное количество рекуперируемой энергии за 2017 г. электрово-
зами серий 3ЭС5К депо приписки Вихоревка, ∑ртгод = 119 245,4 тыс. кВт/ч.
119245,4
kпрт =
 17,3 = 20 629,45 тыс. кВт/ч.
100%
Стоимость недорекуперируемой электроэнергии эксплуатируемым парком
электровоз серии 3ЭС5К (Э) рассчитывается по формуле 5.10.
Э = kпрт  СкВтч,
где
(5.10)
СкВтч - среднесетевая стоимость электроэнергии в ОАО «РЖД» (1 кВтч), по
ценам 2017 г. составляет 2,54 руб.;
146
Э = kпрт  2,54,
Э = 26 710,97  2,54 = 67 845,87 тыс. руб.
Стоимость недорекуперируемой электроэнергии в расчете на один трехсекционный электровоз оценивается в 692,3 тыс. руб. за 2017 г.
Аналогично произведем расчеты количества и стоимости недорекуперируемой электроэнергии парком электровозов серии 3ЭС5К (137 единиц) за 2018 г. На
рисунке 5.19 представлена диаграмма количества отказов электронного оборудования электровозов серий 3ЭС5К за 2018 год.
Количество отказов, ед.
30
25
24
21
19
20
5
19
14
15
10
19
18
17
11
6
13
11
8
4
5
2
3
3
5
1
1
2
4
6
0
Количество отказов электронного обоорудования электровоза
Количество отказов электронного обоорудования электровоза
в режиме рекуперативного торможения
Рисунок 5.19 – Количество отказов электронного оборудования электровозов
серии 3ЭС5К за 2018 г.
После подробного анализа отказов электронного оборудования и записей в
бортовых журналах электровоза, были выделены случаи (42 случая за 2018 г.), которые привели к срыву режима рекуперативного торможения.
Эксплуатируемый парк электровозов серии 3ЭС5К приписанных к эксплуатационному локомотивному депо Вихоревка Восточно-Сибирской дирекции тяги на
2018 г. насчитывал 137 единиц. *(Данные предоставлены Восточно-Сибирской дирекцией тяги). Зная количество отказов электронного оборудования электровозов в
147
режиме рекуперативного торможения за 2018 г. и эксплуатируемый парк локомотивов, рассчитаем процент отказов (𝐷%) электронного оборудования электровозов в
режиме рекуперативного торможения относительно эксплуатируемого парка электровозов по формуле
Dрт
(5.11)
 100 %,
Nэ
– количество отказов электронного оборудования электровоза в режиме
D% =
где Dрт
рекуперативного торможения по причине пропусков импульсов управления на тиристорные плечи ВИП, 26 отказов (60% от общего количества отказов электронного
оборудования в режиме рекуперативного торможения);
Nэ – эксплуатируемый парк электровозов серий 3ЭС5К, 137 единиц.
26
D% =
137
 100 % = 18,9 %.
Из предоставленных данных Восточно-Сибирской дирекцией тяги, известно
годовое количество рекуперируемой электрической энергии электровозами серий
3ЭС5К приписки эксплуатационного депо Вихоревка за 2017 г., которое составляет
142 348,4 тыс. кВт/ч (ОТЧЕТ №-ТЭЛ.092.05-2014 Тягово-энергетических испытаний электровоза переменного тока 3ЭС5К № 166 на участке Тайшет-Таксимо). Исходя из того, что при отказе электронного оборудования электровоза в режиме рекуперативного торможения, данный режим не применялся в течение года в 18,9%
случаях, рассчитаем количество недорекуперируемой электроэнергии по формуле
∑ртгод
kпрт =
100%
 D %,
(5.12)
где ∑ртгод – суммарное количество рекуперируемой энергии за 2018 г. электровозами серий 3ЭС5К депо приписки Вихоревка, ∑ртгод = 142 348,4 тыс. кВт/ч.
142 348,4
kпрт =
100%
 18,9 = 26 903,84 тыс. кВт/ч.
Стоимость недорекуперируемой электроэнергии эксплуатируемым парком
электровоз серии 3ЭС5К (Э) рассчитывается по формуле 5.10.
Э = kпрт  СкВтч,
(5.13)
148
где СкВтч - среднесетевая стоимость электроэнергии в ОАО «РЖД» (1 кВтч), по
ценам 2018 г. составляет 2,86 руб.;
Э = 26 903,84  2,86 = 76 945 тыс. руб.
Стоимость недорекуперируемой электроэнергии в расчете на один трехсекционный электровоз оценивается в 561,64 тыс. руб. за 2018 г.
За период с 2017 г. по 2018 г. среднегодовое количество недорекуперируемой электроэнергии эксплуатируемым парком электровозов серии 3ЭС5К (137
единиц), составляет 26 807,42 тыс. кВт/ч, что оценивается в 72 395,43 тыс. руб.
Для одного трехсекционного электровоза среднегодовое количество недорекуперируемой электроэнергии, составляет 500,68 тыс. кВт/ч, что оценивается в
626,97 тыс. руб.
Определим срок окупаемости при внедрении способа повышения работоспособности ВИП для одного электровоза серии 3ЭС5К по формуле
∑ КВ
(5.14)
Tок =
,
∑Э
где ∑ КВ – Капитальные вложения на оборудование эксплуатируемого парка
электровозов серии 3ЭС5К, руб.;
∑ Э – общая среднегодовая стоимость недорекуперируемой электроэнергии
эксплуатируемым парком электровозов серии 3ЭС5К, руб.
Срок окупаемости при внедрении предлагаемого способа повышения работоспособности ВИП в режиме рекуперативного торможения в расчете на один
трехсекционный электровоз серии 3ЭС5К составит
11 137,35
𝑇2ЭС5К =
≈ 0,2 года
ок
72 395,43
Расчет экономического эффекта от внедрения предлагаемого способа повышения работоспособности ВИП в режиме рекуперативного торможения в расчете
на один трехсекционный электровоз серии 3ЭС5К показал, что капитальные вложения, составляющие 81,3 тыс. рублей, окупятся в течение 0,2 года при годовом
экономическом эффекте 528,43 тыс. руб.
149
ЗАКЛЮЧЕНИЕ
1. Выполнен анализ силовых схем электровозов переменного тока и технических решений защиты тяговых преобразователей электровозов от аварийных режимов работы при рекуперативном торможении, который показал, что все решения
при пропусках импульсов управления не обеспечивают работоспособность преобразователей, а направлены только на срабатывание защиты их силовых цепей.
2. Разработаны мгновенные схемы замещения ВИП при штатной и аварийной
работах, связанные с пропусками импульсов управления, получены системы дифференциальных уравнений, описывающие коммутационные и внекоммутационные
интервалы изменения тока.
3. По результатам анализа мгновенных схем замещения ВИП электровоза переменного тока выявлено, что пропуски импульсов управления на плечи преобразователя приводят к образованию тока короткого замыкания и, как следствие, к
неизбежному срыву режима рекуперативного торможения.
4. Разработан способ повышения работоспособности ВИП электровоза переменного тока в режиме рекуперативного торможения, основанный на резервировании тиристорных плеч, не принявших токовую нагрузку другими – параллельными
плечами этого же преобразователя, позволяющий сохранить процесс инвертирования тока и тормозную силу электровоза.
5. Разработан способ определения тиристорных плеч ВИП, не принявших токовую нагрузку, основанный на анализе длительности коммутации тока в контурах
преобразователя и скорости его нарастания.
6. Разработан алгоритм программного обеспечения, позволяющий реализовать предложенный способ повышения работоспособности преобразователей электровоза и способ определения тиристорных плеч, не принявших токовую нагрузку.
7. Уточнена математическая модель системы «Тяговая подстанция-контактная сеть-электровоз» в режиме рекуперативного торможения, позволяющая исследовать электромагнитные процессы штатной и аварийной работы ВИП электровоза,
а также с предлагаемым способом управления преобразователя, обеспечивающим
150
сохранения режима рекуперативного торможения и возврат электрической энергии
в контактную сеть при пропусках импульсов управления.
8. Проведена оценка адекватности математической модели по параметрам
электромагнитных процессов, полученных на реальном электровозе в эксплуатации, разница которых составила не более 10%.
9. По результатам проведенных исследований электромагнитных процессов
ВИП электровоза в режиме рекуперативного торможения при пропусках импульсов
управления с предложенным способом управления получено, что:
- с высокой точностью выявляется тиристорное плечо ВИП, не принявшее
токовую нагрузку при пропусках импульсов управления, за счет анализа длительности коммутации тока (в 2,5 раза меньше по сравнению со штатной работой) и
скорости его нарастания (в 3 раза выше по сравнению со штатной работой);
- исключается образование тока короткого замыкания в силовых цепях электровоза, величина которого достигает свыше 7000 А;
- в целом повышается работоспособность электровоза переменного тока в режиме рекуперативного торможения, а также безопасность движения грузовых и
пассажирских поездов, на участках с горным профилем пути.
10. Годовой экономический эффект от внедрения разработанного алгоритма
управления ВИП повышающего работоспособность режима рекуперативного торможения в расчете на один трехсекционный электровоз составит 528,43 тыс. руб.,
при сроке окупаемости 0,2 года.
151
СПИСОК ЛИТЕРАТУРЫ
1. Ivanov, V.S. Increasing Energy Efficiency and Reliability of Electric Multiple Unit Regenerative Braking / V.S. Ivanov, R.I. Ustinov, O.V. Melnichenko // VIII International
Scientific Siberian Transport Forum: TransSiberia 2020, Volume 1, pp 420-426.
2. Skarpetowski Grzegorz, Schaer Ronald, Medricky Karel. Method and device for protection of a converter. Патент DE, no. 3601160: МПК, H02H 7/122, H02M 5/45,
H02M 7/515, H02M 7/757, 1987.
3. Steimel, A.: Power-Electronics Issues of Modern Electric Railway Systems [Текст] /
A. Steimel // 10th Intern. Conf. on Development and Application Systems (DAS),
Suceava, Romania. – 2010. – P. 1-8.
4. А.Г. Вольвич, А.В. Беляев, Н.Ю. Фёдорова, М.М. Напрасник Исследование математической модели тягового электровоза однофазно-постоянного тока с поосным перераспределением нагрузок // Электровозостроение: Сб. науч. тр. Всесоюзн. науч.-исслед., проект.-конструкт, и технол. ин-та Электровозостроение. -Новочеркасск, 1995. - Т. 35. - С. 61-66.
5. Абрамов А.М., Подобедов Е.Г., Рябов В.Н. Устройство защиты тиристорного
преобразователя. Патент SU, no. 384174: МПК, H02Н 7/10, H02Н 1/18, 1973.
6. Автоматизация электроподвижного состава / А.Н. Савоськин, JI.A. Баранов,
А.В. Плакс и др. Под ред. А.Н. Савоськина. М.: Транспорт, 1990. – 311 с.
7. Алексеев А.С. Система автоматического регулирования тока коллекторных
тяговых двигателей электровоза [Текст]: Диссертация на соискание ученой
степени кандидата технических наук: 05.09.03 / Алексеев Алексей Сергеевич. –
Москва., 2009. – 302 с.
8. Андреев А.Н., Гольдштейн М.Е. Способ и устройство защиты тиристорного
преобразователя от развивающихся коротких замыканий. Патент RU, no. 2197051:
МПК, H02Н 7/12, 2003.
9. Ануфриев, И.Е. Самоучитель MatLab 5.3/6.х / И.Е. Ануфриев. – СПб.: БХВ
Петербург, 2003. – 736 с.
152
10. Асанов Т.К., Караев Р.И., Фролов А.Ф., Шуров А.Н. Элементы математической модели электровоза с тиристорным преобразователем / Вестник ВНИИЖТ,
1981. - № 3. - С. 34-38.
11.
Бадьян И. И. Аппаратура микропроцессорной системы управления и диагно-
стики электровоза [Текст] //Современные технологии автоматизации. – Москва. –
2000. № 4 – С. 48-52.
12. Белых Б.С., Обуховский М.П., Петров Л.П., Подзолов Р.Г., Поскробко А.А.,
Сперанский В.Ф. Способ защиты тиристорных коммутаторов. Патент SU, no.
499627: МПК, H02H 7/10, H02M 1/18, 1976.
13. Бензарь В. К. Словарь-справочник по электротехнике, промышленной электронике и автоматике. – Минск: Выcшая школа, 1985. 176 с.
14. Бердов Г.В., Середин М.М. Устройство защиты преобразователя от внутренних
коротких замыканий. Патент SU, no. 750640: МПК, H02Н 7/122, H02М 1/18, 1980.
15. Бессонов Л.А. Теоретические основы электротехники [Текст]: учебник для вузов ж. – д. транспорта / Л.А. Бессонов;. – М.: Высшая школа, 1978. 266 с.
16. Богинский С.А. Результаты эксплуатации выпрямительно-инверторных
преобразователей электровозов переменного тока ВЛ80Р на Красноярской
железной дороге [Текст] / С.А. Богинский, В.В. Семченко // Вестник ВНИИЖТ. –
2019. – № 1 – С. 27-32.
17. Богинский С.А. Технология сервисного обслуживания и анализ результатов
эксплуатации электронного оборудования электровозов переменного тока на
железных дорогах Восточного полигона [Текст] / С.А. Богинский, В.В. Семченко,
Н.Г. Шабалин // Вестник ВНИИЖТ. – 2019. – № 3 – С. 169-176.
18. Бурдасов Б.К., Толстых В.А. Современное состояние и тенденции развития статических полупроводниковых преобразователей для магистральных электровозов переменного тока // Электротехническая промышленость. Серия 05, Выпуск 17, 1988. - 27 с.
19. Бурков А. Т. Электронная техника и преобразователи: Учеб, для вузов ж.-д.
трансп. – М.: Транспорт. 1999. – 464 с.
20. Власьевский С. В. Эффективность и проблемы применения рекуперативного
торможения на электровозах переменного тока / С. В. Власьевский, В. В. Кравчук
// Вестник ВЭлНИИ. – 2005. – № 2 (49) – С. 147-158.
153
21. Власьевский С.В. Аварийные процессы работы тиристорного выпрямителя
электровоза переменного тока / Мельниченко О.В., Малышева О.А. // Электротехника / Москва, 2016, № 2 – С. 12-17.
22. Власьевский, С.В. Повышение эффективности выпрямительно-инверторных
преобразователей электровозов переменного тока с рекуперативным торможением [Текст] : дис. … д-ра. техн. наук: 05.09.03 / Власьевский Станислав Васильевич. – Хабаровск., 2001. – 396 с. Библиогр.: с. 342-353.
23. Гаврилов П.Д., Манохин П.И., Коринев Б.Л., Траубе Е.С. Устройство для контроля систем управления тиристорных преобразователей. Патент SU, no. 720614:
МПК, H02Н 7/122, H02М 1/18, 1980.
24. Гельман М.В., Дудкин М.М., Преображенский К.А. Преобразовательная техника. Учебное пособие. Челябинск: Издательский центр ЮУрГУ, 2009. – 425 с.
25. Голованов В.А. Разработка и исследование электровоза ВЛ60КР
/
С.В. Власьевский, В.В. Находкин, В.Н. Горбань, А.Н. Савоськин, В.Е. Коваль //
Параметры перспективных электровозов и вопросы электрической тяги: Труды
ЦНИИ МПС. - М., 1978. -Вып. 597. – С. 69-85.
26. Голованов В.А., Шестернев Н.А. Шестиосный электровоз переменного тока с рекуперативным торможением // Электр. и тепловоз. тяга. – 1977. – № 5. – С. 19-22.
27. Горин Н.Н. Устройство и работа реостатного тормоза // Локомотив, 1995, №
08 – С.16-19.
28. Грибанов П.Ф. Управление электровозом ВЛ85 // Логинов И.Я., Малютин В.А.
/ Электрическая и тепловая тяга: ЭТТ, 1989, № 04, С. 17-19.
29. Григорьев А.И. Устройство для токовой защиты электровоза. Патент SU, no.
613436: МПК, H02Н, 1978.
30. Гриньков Б.Н. Тиристорное регулирование на электроподвижном составе переменного тока за рубежом // Железные дороги мира. – 1979. – № 3, 4. – С. 42-45.
31. Дашук С.П. Способ защиты тиристорного преобразователя. Патент RU, no.
2319273: МПК, H02Н 7/10, 2008.
32. Дениско Н.П., Власьевский С.В., Кравчук В.В. Рекуперативное торможение.
Эффективность и проблемы // Бюллетень организации сотрудничества железных
дорог. – Варшава. – 1986. – № 3. С. 9-10.
154
33. Дениско Н.П., Власьевский С.В., Кравчук В.В. Эффективность рекуперативного торможения электровозов переменного тока серии ВЛ80Р на Дальневосточной железной дороге // Межвуз. сб. науч. тр. – Вып. 204/17: Вопросы эксплуатации
локомотивов в условиях Дальнего Востока. – Новосибирск, 1979. – С. 3-9.
34. Дуваров В.И.
Двенадцатиосный
двухсекционный
электровоз
ВЛ85
/
В.Я. Свердлов, П.К. Штепенко, В.П. Яров // Железнодорожный транспорт. – 1984.
-№2. -С. 33-36.
35. Засорин С. Н., Мицкевич В. А., Кучма К. Г. Электронная и преобразовательная
техника: Учебник для вузов ж.-д. трансп. Под ред. С. Н. Засорина. – М.: Транспорт,
1981, 319 с.
36. Зиновьев Г.С., Попов В.И., Семенов В.В. Устройство защиты тиристорного
преобразователя. Патент SU, no. 316150: МПК, H02Н 7/10, 1971.
37. И. П. Жеребцов. Основы электроники. Изд. 5-е, – Л., 1989. – С. 120-121.
38. История развития электропривода. [Электронный ресурс] Режим доступа:
http://mydocx.ru/4-63602.html свободный. - Загл. с экрана.
39. Капустин Л.Д. Обеспечение надёжности системы управления электроподвижного состава с тиристорными преобразователями [Текст] Л. Д. Капустин // Вестник ВНИИЖТ / Всероссийский научно-исследовательский институт железнодорожного транспорта. – Москва. – 1975. – № 2. – С. 5-9.
40. Каталог продукции. Прокат холоднокатаный тонколистовой из электротехнической анизотропной стали [Текст] // ОАО «Новолипецкий металлургический
комбинат», 2009. – 42 с.
41. Ключев В.И., Миронов Л.М. Устройство для диагностики и защиты реверсивного
тиристорного преобразователя. Патент RU, no. 2183896: МПК, H02Н 7/12, 2002.
42. Кноблох Г. Выпрямители в электрическом подвижном составе. Экспресс-информация // Локомотиво и вагоностроение. – 1965. – № 43.
43. Коваль В.Е. Системы автоматического регулирования выпрямительно-инверторного преобразователя электровоза однофазно-постоянного тока для режима рекуперации. – Диссертация на соис. уч. ст. канд. техн. наук. – М.: МИИТ,1981. – С. 186.
44. Колкер М.И. Способ защиты тиристорного преобразователя по току. Патент
SU, no. 407417: МПК, H02H 7/10, H02M 1/18, 1974.
155
45. Колодеев И.Д., Красноперов В.Ф., Ковтун А.Н. Устройство для функционального диагностирования и защиты тиристорного преобразователя. Патент SU, no.
1690072: МПК, H02Н 7/12, 1988.
46. Копырин В.С., Копырина Н.В., Куцин В.В., Гильденбранд А.Д. Устройство для
защиты реверсивного преобразователя от короткого замыкания и перегрузки. Патент RU, no. 2011259: МПК, H02Н 7/12, 1994.
47. Котельников А.В. Рекуперация повышает энергетическую эффективность дорог / А.Я. Коган, Н.С. Назаров и др.// Локомотив, 2008, № 04 – С. 20-22.
48. Круусинг А. Г. Устройство для защиты вентилей мостового инвертора. Патент
RU, no. 2026592: МПК, H02Н 7/122, 1995.
49. Кулинич, Ю.М. Адаптивная система автоматического управления гибридного
компенсатора реактивной мощности электровоза с плавным регулированием [Текст]
: монография / Ю.М. Кулинич. – Хабаровск: изд-во ДВГУПС, 2001. – 153 с. : ил.
50. Лившиц Б.Г. Электровоз однофазно-постоянного тока с ртутным преобразователем / Электричество, 1937, №19, С. 16-24.
51. Линьков, А.О. Совершенствование выпрямительной установки возбуждения
тяговых двигателей электровоза переменного тока в режиме рекуперативного торможения [Текст]: дис. … канд. техн. наук: 05.22.07: защищена 30.06.2015 / Линьков Алексей Олегович. – Омск., 2015. –177 с.
52. Матвеев Д.А., Байков Д.В. Способ защиты и диагностики последовательно
соединенных тиристоров и устройство для его осуществления. Патент RU, no.
2535290: МПК, H02H 7/10, H02H 7/12, H02M 1/34 2014.
53. Мельниченко О. В., Линьков О.А. Математическое моделирование работы
электровоза с новой выпрямительной установкой возбуждения на IGBT транзисторах / Наука и техника транспорта / Московский гос. ун-т путей сообщения.
Москва, 2013. № 2. С.21-28.
54. Мельниченко О.В. Математическое моделирование выпрямительно-инверторного преобразователя электровоза в аварийных режимах с типовым и предлагаемым способами управления / Современные технологии. Системный анализ. Моделирование. №4(40), Иркутск, ИрГУПС, 2013, – С 229-233.
156
55. Мельниченко О.В. Обеспечение работоспособности электровоза в режиме
тяги при аварийных режимах выпрямительно-инверторного преобразователя: монография /Иркутск: ИрГУПС, 2014. – С 169.
56. Мельниченко О.В. Повышение энергетической эффективности тяговых электроприводов электровозов переменного тока [Текст] : дис. … д-ра. техн. наук:
05.09.03 / Мельниченко Олег Валерьевич. – Хабаровск., 2015. – 392 с. Библиогр.:
с. 348-379.
57. Мельниченко О.В., Власьевский С.В. Авариные процессы на первой зоне регулирования напряжения преобразователя электровоза переменного тока и обеспечение его работоспособности / Электрификация транспорта, 2013, №6, С. 101-112.
58. Мельниченко О.В., Иванов В.С. Анализ применения преобразователей в силовых схемах отечественного моторвагонного подвижного состава переменного
тока [Текст] / Вестник ИрГТУ – 2018. № 4, – С. 231-242.
59. Москатов Е. А. Электронная техника. Начало. — Таганрог, 2010. – 76 с.
60. Моченов И.Г., Скиба Л.И. и др. Эксплуатационные испытания электровозов \\
ВЛ60Р и оценка эффективности рекуперативного торможения на электрифицированных дорогах переменного тока: Отчёт ЦНИИ МПС-ЛЭЛ-01-66, ВЭлНИИ-5566 этап «а». - М., 1966.
61. Назаров Н.С. Исследование устойчивости и эксплуатационных режимов работы зависимых инверторов электровозов: Дис. на соискание уч. степени канд.
техн. наук. – М.: ВНИИЖТ, 1977. – 217 с.
62. Особенности конструкции и управления электровоза BЛ65/ В.В. Кравчук,
А.С. Поддавашкин, Ю.М. Кулинич и др. Хабаровск: Редакционно-издательский
центр ДВГАПС, 1997. – 133 с.
63. Петров С.А. Рекуперативное торможение на выпрямительных электровозах:
Тр. ЦНИИ МПС. – 1960. – Вып. 201. – С. 14-23.
64. Пехотский И.В., Логинов И.Я., Кажанов В.Н. Устройство для защиты тиристорного преобразователя. Патент RU, no. 2152679: МПК, H02Н 7/10, H02Н 7/122, 2000.
65. Пименов В.П., Поссе А.В. Опрокидывание инвертора / Электричество, 1957,
№7, С. 65-70.
157
66. Плакс А.В., Системы управления электрическим подвижным составом: Учебник. Высшее профессиональное образование. – Маршрут, 2005. –360 с.
67. Плеханов С.Н., Солодухо Я.Ю., Шоруков А.Х. Устройство для защиты ведомого сетью мостового тиристорного инвертора. Патент SU, no. 271634: МПК,
H02Н 7/10, 1970.
68. Подобедов Е.Г., Сперанский В.Ф. Способ защиты тиристорного инвертора.
Патент SU, no. 208808: МПК, H02D, H02M, 1968.
69. Преобразователь выпрямительно-инверторный ВИП-1000-У1. Руководство по эксплуатации ИЕАЛ.435411.046 РЭ [Текст] / ОАО «Электровыпрямитель», 2008. – 35 с.
70. Раков В.А. Локомотивы отечественных железных дорог 1956-1975 гг. — М.:
Транспорт, 1999. – 443 с: ил.
71. Раков В.А. Опытный электровоз переменного тока ОР22-01 // Локомотивы отечественных железных дорог 1845-1955. – 2-е, переработанное и дополненное. –
Москва: «Транспорт», 1995. – С. 426-429.
72. Раков В.А. Поколение электровозов ВЛ60 / Электрическая и тепловая тяга:
ЭТТ, 1986, № 08, С. 34-36.
73. Рогинский В. Ю. Валентин Петрович Вологдин / Отв. ред. А. А. Чеканов, Б. А.
Остроумов. — М.: Наука, 1981. – 216 с.
74. Руководство по устройству электропоездов серии ЭД9М, ЭД9Т, ЭР9П. М.:
Центр Коммерческих разработок, 2005. – 128 с., табл.,ил.
75. Савоськин А.Н. Математическое моделирование электромагнитных процессов
в динамической системе контактная сеть – электровоз / А.Н. Савоськин, Ю.М.
Кулинич, А.С. Алексеев // Электричество. – 2002. – №2. – С. 29-35.
76. Савоськин А.Н. О качестве процессов регулирования инвертора электровоза
[Текст] / А.Н. Савоськин, В.А. Голованов, В.Е. Коваль, А.А. Ефремов // Вестник
ВНИИЖТ. – 1981. – № 8 – С. 24-24.
77. Савоськин, А.Н. Замкнутая система автоматического регулирования инвертора электровоза переменного тока [Текст] / А.Н. Савоськин, В.Е. Коваль, А.А.
Ефремов // Электротехническая промышленность (сер. Тяговое и подъемно-транспортное оборудование), 1981. – № 2(74) – С. 8-10.
158
78. Савоськин, А.Н. Исследование переходных процессов в системе автоматического регулирования инвертора электровоза переменного тока [Текст] / А.Н. Савоськин, В.А. Голованов, В.Е. Коваль, А.А. Ефремов // Тр. МИИТ, – 1979. –
вып.648, – С. 16-22.
79. Самый мощный в мире электровоз 4ЭС5К / В.В. Кинжигазиев, В.Л. Задорожный // Трансмашхолдинг, 2014, № 04. – С. 10-15.
80. Семенов Б.Ю. Силовая электроника. От простого к сложному - М.: СОЛОНПресс, 2005. - 416 с.
81. Тиристор низкочастотный Т-353-800 [Электронный ресурс]. Саранск., 2006
Режим доступа: http://www.elvpr.ru/poluprovodnikprib/tiristory/T353_800.pdf, свободный. – Загл. с экрана.
82. Тихменев Б.Н. Потенциальные условия работы тиристоров в выпрямительноинверторном преобразователе электровоза ВЛ80Р [Текст] / Б.Н. Тихменев,
Ю.В. Басов и др./ Вестник ВНИИЖТ / Всероссийский научно-исследовательский
институт железнодорожного транспорта. – Москва. – 1984. – С. 9-20.
83. Тихменев Б.Н., Басов Ю.А., Находкин В.В. О повышении надежности преобразователя ВИП2-2200М электровоза ВЛ80Р //Вестн. ВНИИЖТ. – 1982. – № 5. –
С. 11-15.
84. Тихменев Б.Н., Басов Ю.А., Находкин В.В. Потенциальные условия работы
тиристоров в выпрямительно-инверторном преобразователе электровоза ВЛ80Р /
Под ред. О.А. Некрасова // Электрическое торможение электроподвижного состава: Сб. науч. тр. -М.: Транспорт, 1984. – С. 9-21.
85. Тихменев Б.Н., Голованов В.А., Басов Ю.А. Плавное регулирование выпрямленного напряжения на электровозах с тиристорами // Тр. ВНИИЖТ. - М.: Транспорт, 1966. - Вып. 312. – С. 18-32.
86. Тихменев Б.Н., Кучумов В.А. Электровозы переменного тока с тиристорными
преобразователями. - М.: Транспорт, 1988, – 312 с.
87. Тихменев Б.Н., Чекмарев Н.О. Опытный электровоз однофазного тока 50 Hz /
Электричество, 1939, №6, с. 43-45.
159
88. Тихменев, Б. Н., Трахтман, Л. М. Подвижной состав электрических железных
дорог. Теория работы электрооборудования, электрические схемы и аппараты:
Учебник [Текст] / Б. Н. Тихменев, Л. М. Трахтман. – М.: Транспорт, 1969. – 408 с.
89. Тихменев, Б.Н. Исследование способов демпфирования высокочастотных колебаний в тиристорных преобразователях [Текст] / Б.Н. Тихменев, В.Д. Кондрашов, Н.Н. Горин, В.А. Кучумов, А.П. Петровичев // Труды ВНИИЖТ. – М.: Транспорт, 1982. – Вып. 642. – С. 94-115
90. Тихменев, Б.Н. Электровозы переменного тока со статическими преобразователями [Текст] / Б.Н. Тихменев. – М.: «Гострансжелдориздат», 1958. – 268 с
91. Тув А.М., Юрин И.Е., Капитонов А.П. Устройство для защиты реверсивного
тиристорного преобразователя от срыва инвертора. Патент SU, no. 452908: МПК,
H02P 13/16, H02Н 7/12, 1974.
92. Тушканов Б.А., Кодинцев И.Ф., Юдин А.Т. Электровоз ВЛ65 // Электровозостроение: Сб. науч. тр. Всерос. науч.-исслед., проект.-конструкт. и технол. ин-та
электровозостроения. - Новочеркасск, 1991. - Т. 32. – С. 15-27.
93. Тушканов Б.А., Н.Г. Пушкарев, Л.А. Позднжова и др, Электровоз ВЛ85. Руководство по эксплуатации. М., 1992, 480 с.
94. Удовиченко А.В. Устройство защиты полупроводникового преобразователя.
Патент RU, no. 171204: МПК, H02Н 7/10, 2016.
95. Устинов Р. И., Мельниченко О. В., Портной А. Ю., Шрамко С. Г.,
Линьков А. О., Яговкин Д. А. Способ повышения работоспособности электровозов переменного тока в режиме рекуперативного торможения и устройство для его
реализации // Заявка №2016146239, Рос. Федерация: МПК , заявитель Иркутский
гос. ун-т путей сообщения, заявл. 24.11.2016.
96. Устинов Р.И. Выпрямительно-инверторный преобразователь для электровозов
переменного тока с коллекторными ТЭД в режиме рекуперативного торможения /
Р.И. Устинов, О.В. Мельниченко, А.Ю. Портной, А.О. Линьков, С.Г. Шрамко, Д.А.
Яговкин // Вестник Института тяги и подвижного состава / Дальневосточный гос.
ун-т путей сообщения. Хабаровск, 2016. – Вып. 12. – С. 67-69.
97. Устинов Р.И. Моделирование аварийных процессов выпрямительно-инверторных преобразователей электровоза при пропуске управляющих импульсов. /
160
Р.И. Устинов, О.В. Мельниченко. // Вестник ИРГТУ / Иркутский гос. техн. ун-т
Иркутск, 2018. № 3. С. 244-254
98. Устинов Р.И. Обзор перспектив повышения энергетической эффективности и
надёжности рекуперативного торможения на электроподвижном составе. / Р.И. Устинов, О.В. Мельниченко, А.Ю. Портной, А.О. Линьков, С.Г. Шрамко, Д.А. Яговкин,
И.А. Баринов, В.С. Иванов. // Электропривод на транспорте и в промышленности.
Сборник трудов II Всероссийской научно-практической конференции, 20-21 сентября
2018 г., Хабаровск: ДВГУПС 2018. – С. 212-219.
99. Устинов Р.И. Определения пропусков импульсов управления с использованием
сигналов датчиков угла коммутации. / О.В. Мельниченко // Транспортная инфраструктура Сибирского региона: Материалы девятой международной науч.- практ. конф. /
Иркутский гос. ун-т путей сообщения. Иркутск, 2018. Т. 2 – С.346-350.
100. Устинов Р.И. Повышение безопасности движения поездов при использовании ресурсосберегающего режима – рекуперативное торможение. / Р.И. Устинов,
О.В. Мельниченко, Савельев А.В., Иванов В.С. // Безопасность транспорта и сложных технических систем глазами молодежи. Сборник научных трудов по итогам
всероссийской научно-практической конференции, 10-13 апреля 2018, Иркутск,
2018. С. 208-212.
101. Устинов Р.И. Повышение работоспособности ВИП электровоза переменного
тока в режиме рекуперативного торможения при пропуске импульсов управления /
Р.И. Устинов, О.В. Мельниченко, А.О Линьков, Ю.В. Газизов. // Транспортная инфраструктура Сибирского региона: Материалы седьмой международной науч.- практ.
конф. / Иркутский гос. ун-т путей сообщения. Иркутск, 2016. Т. 2 – С. 517-520.
102. Устинов Р.И. Повышение работоспособности электровоза переменного тока в
режиме рекуперативного торможения за счет использования сигналов датчиков коммутации / Р.И. Устинов. // Синтез науки и общества в решении глобальных проблем
современности: Сборник научных трудов международной науч.- практ. конф. / СанктПетербургский Центр Системного Анализа. Санкт-Петербург, 2017. – С. 58-60.
103. Устинов Р.И. Разработка нового алгоритма управления ВИП в случае пропуска
импульсов управления в режиме рекуперативного торможения / Р.И. Устинов, О.В.
161
Мельниченко. // Наука и молодежь: Сборник трудов второй всерос. науч.- практ.
конф. / Иркутский гос. ун-т путей сообщения. Иркутск, 2016. – С.256-259.
104. Устинов Р.И. Разработка способа оценки образования короткого замыкания в
силовых цепях инвертора электровоза / О.В. Мельниченко // Известия Транссиба /
Омский гос. ун-т путей сообщения. – Омск. – 2019. – № 1 (37). – С. 35-44.
105. Устинов Р.И. Способ определения пропусков импульсов управления на тиристоры инвертора электровоза переменного тока /
Р.И.
Устинов
//
Scientific research – 2018: Proceedings of articles the III International scientific conference. Сборник трудов международной научно-практической конференции, 2930 Ноября 2018, Чехия, Карловы Вары - Россия, Москва, С. 150-156.
106. Устинов Р.И. Способ повышения работоспособности ВИП электровоза переменного тока при пропуске импульсов управления в режиме рекуперативного
торможения / Р.И. Устинов, О.В. Мельниченко. // Вестник Института тяги и подвижного состава / Дальневосточный гос. ун-т путей сообщения. Хабаровск, 2016.
– Вып. 12. – С. 64-67
107. Фролов К. В. Энциклопедия Машиностроение в сорока томах. Российской
Академии наук с 1994г. Девятый том – 581с.
108. Харитонов А.И. Исследование режимов работы выпрямительного электровоза при рекуперативном торможении: Автореф. дис. на соиск. уч. степ. канд.
техн. наук. – Л: ЛПИ, 1963. – 24 с.
109. Черных, И.В. Моделирование электротехнических устройств в MATLAB,
SimPowerSistems и Simulink [Текст] / И.В. Черных. – М.: ДМК Пресс; СПб.: Питер,
2008. – 288 с.: ил.
110. Штибен Г.А. Исследование схем рекуперативного торможения электроподвижного состава переменного тока на управляемых кремниевых вентилях: Дис.
на соиск. уч. степ. канд. техн. наук. – М.: ВНИИЖТ, 1968. – 222 с.
111. Штибен Г.А. Статические преобразователи на управляемых кремниевых вентилях // Электрическая и тепловозная тяга. – 1966. – № 12.
112. Штрейберг А.Ю. Способ защиты тиристорного преобразователя. Патент SU,
no. 469184: МПК, H02Н 7/10, 1975.
162
113. Электрическая тяга на рубеже веков/ред. А. Л. Лисицын. М.: Интекст, 2000.
– 248 с.
114. Электровоз ВЛ80Р. Руководство по эксплуатации/ Под ред. Б.А. Тушканова.
М.: Транспорт, 1985. – 541 с.
115. Электровоз ВЛ80Т. Руководство по эксплуатации. Под ред. Б.Р. Бондаренко
М.: «Транспорт», 1977 – 508 с.
116. Электровоз магистральный 2ЭС5К (3ЭС5К). Руководство по эксплуатации
[Текст] / Новочеркасский электровозостроительный завод. – Новочеркасск, 2007.
– том 1, 635 с.,том 2, – 640 с.
117. Электровоз ЭП1: Руководство по эксплуатации: изд. 2-е; Том 1,2 (в четырех книгах). / под ред. А.В. Омельченко Ростов-на-Дону: СХКТБ «БелРусь». -2006. -554 с.: ил.
118. Электровоз. Раков В.А., Пономаренко П.К. - М.: Трансжелдориздат, 1956 – 623 с.
119. Электропоезд ЭД9Э. Руководство по эксплуатации [Текст] / ОАО «Демиховский
машиностроительный завод», 2015. – 433 с.
120. Электропоезда переменного тока: Учебное пособие для ПТУ / М.М. Авдеев, В.А.
Гут, В.И. Томчук, В.А. Хряев. Изд. 2-е, перераб. И доп.–М.: Транспорт, 1985. – 368 с.
121. Яговкин Д. А. Разработка математической модели выпрямительно-инверторного преобразователя на IGBT-транзисторах для электровоза переменного тока и
его блока управления в режиме тяги /Д. А. Яговкин // Современные технологии.
Системный анализ. Моделирование / Иркутский гос. ун-т путей сообщения. Иркутск, 2015. №3(47). С. 197-202.
122. Яговкин, Д.А. Совершенствование выпрямительно-инверторного преобразователя электровоза переменного тока и принципа его управления в режиме
тяги [Текст]: дис. … канд. техн. наук: 05.22.07 / Яговкин Дмитрий Андреевич.
– Иркутск, 2016. – 176 с.
163
ПРИЛОЖЕНИЕ А
Патент на изобретение
164
165
ПРИЛОЖЕНИЕ Б
Свидетельство о государственной регистрации программы для ЭВМ
166
167
ПРИЛОЖЕНИЕ В
Листинг разработанного программного обеспечения
-- Company: IRGUPS
-- Engineer: Ustinov
-- Create Date:
08:46:55 09/03/19
-- Design Name:
-- Module Name:
pl0k - Behavioral
-- Project Name:
-- Target Device: roma target
-- Tool versions:
-- Description:
-- Dependencies:
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity pl0k is
Port ( d : in std_logic_vector(7 downto 0);
a : in std_logic_vector(6 downto 0);
clk : in std_logic;
168
reset : in std_logic;
blks : in std_logic;
pp : in std_logic;
gamma : in std_logic;
alfa0s : in std_logic;
dir : out std_logic;
oe : out std_logic;
oeout : out std_logic;
wr: in std_logic;
tr: in std_logic;
--
rd: in std_logic;
fout : out std_logic_vector(8 downto 1);
fvuv : out std_logic_vector(2 downto 1);
fvuvnew : out std_logic_vector(2 downto 1));
end pl0k;
architecture Behavioral of pl0k is
constant zone1:std_logic_vector(1 downto 0):="00";
constant zone2:std_logic_vector(1 downto 0):="01";
constant zone3:std_logic_vector(1 downto 0):="10";
constant zone4:std_logic_vector(1 downto 0):="11";
constant TR_T:std_logic:='0';
constant TR_R:std_logic:='1';
signal k: integer range 0 to 4000000;
signal j: std_logic;
signal old_fwrite,fwr,old_wr: std_logic;
signal zone: std_logic_vector (1 downto 0):=zone1;
signal alf: integer range 0 to 511:=270;
signal alfvuv: integer range 0 to 511:=240;
169
signal alfvuvnew:integer range 0 to 511:=10;
signal clk320: integer range 0 to 511;
signal alfa0, alfa03: std_logic;
signal alfar, alfav, alfavnew, betta: std_logic;
signal countwindow: integer range 0 to 511;
signal old_ff50_2, ff50_2, old_blks, blksf: std_logic;
signal old_alfa0_f,alfa0_f, gamma_f:std_logic;
signal avarreg0,avarreg1:std_logic_vector(7 downto 0):="00000000";
signal avar,avar1,avar2,avar3,avar4,avar5,avar6,avar7,avar8:std_logic;
--constant betta0angle:integer range 0 to 511:=265;--265=8.2 ms; --now work only
--constant gammaangle:integer range 0 to 511:=30;
constant betta0angle:integer range 0 to 511:=265;--230; 265=8.2ms;
constant bettagammamax: integer range 0 to 511:=280;
constant bettaminangle: integer range 0 to 511:=230;
constant gammaangle:integer range 0 to 511:=30;
signal bettaangle: integer range 0 to 511:=betta0angle;
signal gammaplus:integer range 0 to 15:=0;
signal filter_f50, filter_a0, filter_gamma: std_logic_vector (7 downto 0);
begin
dir<='1';--?????????
oe<='0' when (wr='0') else '1';
oeout<='0' when blksf='1' else '1';
avar<=avarreg0(0);
170
avar1<=avarreg1(0);
avar2<=avarreg1(1);
avar3<=avarreg1(2);
avar4<=avarreg1(3);
avar5<=avarreg1(4);
avar6<=avarreg1(5);
avar7<=avarreg1(6);
avar8<=avarreg1(7);
process (CLK)
begin
if CLK'event and CLK='1' then
if k<4000000 then k<=k+1; else
k<=0; j<=not j;
end if;
end if;
end process;
process (CLK)--filter for 50 hz ff50_2 - filtered signal; f50_2 - input signal;
begin
if CLK'event and CLK='1' then
if filter_f50="00000000" then ff50_2<='0';
end if;
if filter_f50="11111111" then ff50_2<='1';
end if;
if filter_a0="00000000" then alfa0_f<='0';
end if;
if filter_a0="11111111" then alfa0_f<='1';
end if;
if filter_gamma="00000000" then gamma_f<='0';
end if;
if filter_gamma="11111111" then gamma_f<='1';
171
end if;
filter_f50(7 downto 1)<=filter_f50(6 downto 0);
filter_f50(0)<=pp; --!!!!!!
filter_a0(7 downto 1)<=filter_a0(6 downto 0);
filter_a0(0)<=alfa0s;
filter_gamma(7 downto 1)<=filter_gamma(6 downto 0);
filter_gamma(0)<=gamma;
end if;
end process;
process (CLK)
begin
if CLK'event and CLK='0' then
if old_wr='0' and wr='0' then fwr<='0';
end if;
if old_wr='1' and wr='1' then fwr<='1';
end if;
if old_blks='0' and blks='0' then blksf<='0';
end if;
if old_blks='1' and blks='1' then blksf<='1';
end if;
old_wr<=wr;
old_blks<=blks;
end if;
end process;
process (CLK)
begin
172
if CLK'event and CLK='1' then
if fWR='0' and old_fwrite='1' then
if A="0010000" then zone<=D(1 downto 0);
end if;
if A="0010001" then
alf<=270-conv_integer(D);
end if;
if A="0010010" then alfvuv<=250-conv_integer(D);
alfvuvnew<=conv_integer(D)+5;
end if;
if A="0010100" then avarreg0<=D; end if;
if A="0010101" then avarreg1<=D; end if;
end if;
old_fwrite<=fWR;
end if;
end process;
process (CLK)
begin
if CLK'event and CLK='1' then
--if (old_alfa0_f='1' and alfa0_f='0') then
if (old_ff50_2='1' and ff50_2='0') or (old_ff50_2='0' and ff50_2='1') then
clk320<=0; countwindow<=0; alfa0<='0'; alfar<='0'; alfa03<='0';
betta<='0'; alfav<='0'; alfavnew<='0';
else
if clk320<250 then clk320<=clk320+1; --<320
32.75 mks/takt
else clk320<=0; countwindow<=countwindow+1;--clk320>=262
alfar<='0';
173
alfa0<='0';
--alfavnew<='0';
if (countwindow=2) then
alfavnew<='1';
end if;
if (countwindow>=alfvuvnew) then
alfavnew<='0';
end if;
if (countwindow=15)or(coun-
twindow=16) then alfa0<='1';
else alfa0<='0';
end if;
if (countwindow=20)or(countwindow=21) then alfa03<='1';
else alfa03<='0';
end if;
if (((countwindow=alf)or(countwindow=alf+1))and(TR=TR_T)) or
(((countwindow=alf)or(countwindow=alf+1))and(TR=TR_R) and (alf<(betta0angle-gammaangle)))
then alfar<='1';
else alfar<='0';
end if;
if (countwindow=alfvuv)
then alfav<='1';
else alfav<='0';
174
end if;
--if (countwindow=betta0angle) then betta<='1';
-- else betta<='0';
--end if;
if (countwindow=bettaangle)or(countwindow=bettaangle+1) then betta<='1';
else betta<='0';
end if;
if (countwindow>270) then
alfa0<='0'; alfar<='0'; alfa03<='0';
betta<='0'; alfav<='0'; alfavnew<='0';
end if;
if (countwindow=bettagam-
mamax) and (TR=TR_T) then
bettaangle<=betta0angle;
end if;
if (countwindow=bettagammamax) and (TR=TR_R) and (ff50_2='1') then
if (gamma_f='1') and (bettaangle>bettaminangle) then bettaangle<=bettaangle-1; gammaplus<=0; end if;
if (gamma_f='0') and
(bettaangle<betta0angle) then
if (gammaplus>=7) then gammaplus<=0; bettaangle<=bettaangle+1;
175
else gammaplus<=gammaplus+1;
end if;
end if;
end if;
end if;
end if;
old_alfa0_f<=alfa0_f;
old_ff50_2<=ff50_2;
end if;--clk event
end process;
fvuv(2)<='1' when (ff50_2='0') and (alfav='1') and (TR=TR_R) and (blksf='1') else '0';
--!!!!fvuv(1)
fvuv(1)<='1' when (ff50_2='1') and (alfav='1') and (TR=TR_R) and (blksf='1') else '0';
fvuvnew(1)<='1' when (ff50_2='0') and (alfavnew='1') and (TR=TR_R) and (blksf='1')
else '0';
fvuvnew(2)<='1' when (ff50_2='1') and (alfavnew='1') and (TR=TR_R) and (blksf='1')
else '0';
fout(1)<=alfar when (zone=zone2 or zone=zone4) and (ff50_2='0') and (TR=TR_T)
and (blksf='1') and (avar='0') else
betta when (zone=zone1 or zone=zone3) and (ff50_2='0') and (TR=TR_R) and
(blksf='1') and (avar='0') else
betta when ((zone=zone1 and (avar2='1' or avar7='1' or avar8='1'))
or
(zone=zone2 and avar8='1') or
(zone=zone3 and avar5='1'))
176
and (ff50_2='0') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(2)<=alfar when (zone=zone2 or zone=zone4) and (ff50_2='1') and (TR=TR_T)
and (blksf='1') and (avar='0') else
betta when (zone=zone1 or zone=zone3) and (ff50_2='1') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when ((zone=zone1 and (avar1='1' or avar7='1' or avar8='1'))
or
(zone=zone2 and (avar7='1' or avar8='1')) or
(zone=zone3 and avar6='1'))
and (ff50_2='1') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(3)<=alfar when (zone=zone3) and (ff50_2='0') and (TR=TR_T) and (blksf='1')
and (avar='0') else
alfa0 when (zone=zone1) and (ff50_2='0') and (TR=TR_T) and (blksf='1') and
(avar='0') else
alfa03 when (zone=zone2 or zone=zone4) and (ff50_2='0') and
(TR=TR_T) and (blksf='1') and (avar='0') else
alfar when (zone=zone1 or zone=zone3) and (ff50_2='1') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when (zone=zone2)
and (ff50_2='0') and (TR=TR_R)
and (blksf='1') and (avar='0') else
(betta or alfar) when (zone=zone4)
and (ff50_2='0') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when ((zone=zone1 and avar1='1') or
(zone=zone2 and (avar4='1' or avar7='1')) or
(zone=zone3 and avar6='1'))
177
and (ff50_2='0') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(4)<=alfar when (zone=zone1 or zone=zone3) and (ff50_2='1') and (TR=TR_T)
and (blksf='1') and (avar='0') else
alfa03 when (zone=zone2 or zone=zone4) and (ff50_2='1') and
(TR=TR_T) and (blksf='1') and (avar='0') else
alfar when (zone=zone1 or zone=zone3) and (ff50_2='0') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when
(zone=zone2) and (ff50_2='1') and (TR=TR_R)
and (blksf='1') and (avar='0') else
(betta or alfar) when
(zone=zone4) and (ff50_2='1') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when ((zone=zone1 and avar2='1') or
(zone=zone2 and avar3='1') or
(zone=zone3 and avar5='1'))
and (ff50_2='1') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(5)<=(alfar or alfa0) when (zone=zone1) and (ff50_2='1') and (TR=TR_T) and
(blksf='1') and (avar='0') else
alfa0 when (zone=zone2) and (ff50_2='1') and (TR=TR_T) and
(blksf='1') and (avar='0') else
alfa03 when (zone=zone3) and (ff50_2='0') and (TR=TR_T) and
(blksf='1') and (avar='0') else
alfar when
(zone=zone2) and (ff50_2='1') and (TR=TR_R) and (blksf='1')
and (avar='0') else
betta when
and (blksf='1') and (avar='0') else
(zone=zone3) and (ff50_2='1') and (TR=TR_R)
178
(betta or alfar) when (zone=zone4) and (ff50_2='1') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when
((zone=zone1 and avar7='1') or
(zone=zone2 and avar7='1') or
(zone=zone3 and
avar6='1'))
and (ff50_2='1') and
(TR=TR_R) and (blksf='1') and (avar='1') else
betta when
((zone=zone2 and avar3='1') or
(zone=zone3 and (avar1='1' or avar2='1')))
and (ff50_2='0') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(6)<=alfar when (zone=zone1) and (ff50_2='0') and (TR=TR_T) and (blksf='1')
and (avar='0') else
alfa0 when (zone=zone2) and (ff50_2='0') and (TR=TR_T) and
(blksf='1') and (avar='0') else
alfa03 when (zone=zone3) and ((ff50_2='1') and (TR=TR_T)) and
(blksf='1') and (avar='0') else
alfar when
(zone=zone2) and (ff50_2='0') and (TR=TR_R)
and (blksf='1') and (avar='0') else
betta when
(zone=zone3) and (ff50_2='0') and (TR=TR_R)
and (blksf='1') and (avar='0') else
(betta or alfar) when (zone=zone4) and (ff50_2='0') and
(TR=TR_R) and (blksf='1') and (avar='0') else
betta when
((zone=zone2 and avar4='1') or
(zone=zone3 and (avar1='1' or avar2='1' or
avar5='1')))
and (ff50_2='1') and (TR=TR_R) and (blksf='1') and
(avar='1') else
betta when
((zone=zone1 and avar8='1') or
179
(zone=zone2 and avar8='1'))
and (ff50_2='0') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(7)<=alfa0 when ((zone=zone3 or zone=zone4) and (ff50_2='1') and (TR=TR_T))
and (blksf='1') and (avar='0') else
betta when (zone=zone1 or zone=zone2) and (ff50_2='1') and (TR=TR_R) and
(blksf='1') and (avar='0') else
betta when ((zone=zone1 and (avar1='1' or avar2='1' or avar8='1'))
or
(zone=zone2 and (avar3='1' or avar4='1' or
avar8='1')) or
(zone=zone3 and (avar1='1' or avar2='1' or
avar5='1')))
and (ff50_2='1') and (TR=TR_R) and (blksf='1') and
(avar='1') else
'0';
fout(8)<=alfa0 when ((zone=zone3 or zone=zone4) and (ff50_2='0') and (TR=TR_T))
and (blksf='1') and (avar='0') else
betta when (zone=zone1 or zone=zone2) and (ff50_2='0') and (TR=TR_R) and
(blksf='1') and (avar='0') else
betta when ((zone=zone1 and (avar1='1' or avar2='1' or avar7='1'))
or
(zone=zone2 and (avar3='1' or avar4='1' or avar7='1')) or
(zone=zone3 and (avar1='1' or avar2='1' or
avar6='1')))
and (ff50_2='0') and (TR=TR_R) and
(blksf='1') and (avar='1') else
'0';
end Behavioral;
180
ПРИЛОЖЕНИЕ Г
АКТ внедрения в учебный процесс результатов диссертационного исследования
Скачать