Uploaded by Марк Воронков

Понятие проектирования

advertisement
Глава 1. Введение в автоматизированное проектирование
1.1. Понятие проектирования
1.2. Принципы системного подхода
1.3. Уровни проектирования
1.4. Стадии проектирования
1.5. Модели и их параметры в САПР
1.6. Проектные процедуры
1.7. Жизненный цикл изделий
1.8. Структура САПР
1.9. Введение в CALS-технологии
1.10. Этапы проектирования автоматизированных систем
Понятие проектирования
Проектирование технического
объекта
—
создание,
преобразование и представление в принятой форме образа
этого еще не существующего объекта. Образ объекта или его
составных частей может создаваться в воображении человека
в результате творческого процесса или генерироваться в
соответствии
с
некоторыми
алгоритмами
в
процессе
взаимодействия человека и ЭВМ. В любом случае инженерное
проектирование
начинается
при
наличии
выраженной
потребности общества в некоторых технических объектах,
которыми могут быть объекты строительства, промышленные
изделия или процессы. Проектирование включает в себя
разработку технического предложения и (или) технического
задания (ТЗ), отражающих эти потребности, и реализацию ТЗ
в виде проектной документации.
Обычно ТЗ представляют в виде некоторых документов, и
оно является исходным (первичным) описанием объекта.
Результатом проектирования, как правило, служит полный
комплект документации, содержащий достаточные сведения
для изготовления объекта в заданных условиях. Эта
документация и есть проект, точнее окончательное описание
объекта. Более коротко, проектирование — процесс,
заключающийся в получении и преобразовании исходного
описания объекта в окончательное описание на основе
выполнения комплекса работ исследовательского, расчетного
и конструкторского характера.
Преобразование исходного описания в окончательное
порождает ряд промежуточных описаний, подводящих итоги
решения некоторых задач и используемых для обсуждения и
принятия
решений
для
окончания
или
продолжения
проектирования.
Такие
промежуточные
описания
называют проектными решениями.
Проектирование, при котором все проектные решения или
их часть получают путем взаимодействия человека и ЭВМ,
называют автоматизированным
проектированием ,
в
отличие
от
ручного
(без
использования
ЭВМ)
или
автоматического (без участия человека на промежуточных
этапах).
Система,
реализующая
автоматизированное
проектирование,
представляет
собой систему
автоматизированного
проектирования (САПР,
в
англоязычном написании CAD System — Computer Aided
Design System).
Автоматическое
проектирование
возможно
лишь
в
отдельных частных случаях для сравнительно несложных
объектов. Превалирующим в настоящее время является
автоматизированное проектирование.
Проектирование
сложных
объектов
основано
на
применении идей и принципов, изложенных в ряде теорий и
подходов. Наиболее общим подходом является системный
подход, идеями которого пронизаны различные методики
проектированиясложных систем.
Принципы системного подхода
Проектирование сложных
объектов
основано
на
применении идей и принципов, изложенных в ряде теорий и
подходов. Наиболее общим подходом является системный
подход, идеями которого пронизаны различные методики
проектирования сложных систем.
Для специалиста в области системотехники идеи и
принципы системного подхода являются очевидными и
естественными, однако их соблюдение и реализация зачастую
сопряжены с определенными трудностями, обусловливаемыми
особенностями проектирования. Как и большинство взрослых
образованных людей, правильно использующих родной язык
без привлечения правил грамматики, инженеры используют
системный подход без обращения к пособиям по системному
анализу. Однако интуитивный подход без применения правил
системного анализа может оказаться недостаточным для
решения все более усложняющихся задач инженерной
деятельности.
Основной общий принцип системного подхода заключается
в рассмотрении частей исследуемого явления или сложной
системы с учетом их взаимодействия. Системный подход
включает в себя выявление структуры системы, типизацию
связей, определение атрибутов, анализ влияния внешней
среды, формирование
модели системы, исследование
модели и
возможнооптимизацию ее
структуры
и
функционирования.
Системный подход является базой для обобщающей
дисциплины "теория систем" (другое используемое название
— "системный анализ"). Теория систем — дисциплина, в
которой конкретизируются положения системного подхода;
она посвящена исследованию и проектированию сложных
экономических, социальных, технических систем, чаще всего
слабоструктурированных. Характерными примерами таких
систем
являются
производственные
системы.
При
проектировании систем цели достигаются в многошаговых
процессах принятия решений. Методы принятия решений
часто выделяют в самостоятельную дисциплину, называемую
"Теория принятия решений".
В технике дисциплину, в которой исследуются сложные
технические системы, их проектирование, и аналогичную
теории систем, чаще называют системотехникой. Предметом
системотехники являются, во-первых, организация процесса
создания, использования и развития технических систем, вовторых,
методы
и
принципы
их
проектирования
и
исследования. В системотехнике важно уметь сформулировать
цели системы и организовать ее рассмотрение с позиций
поставленных целей. Тогда можно отбросить лишние и
малозначимые части при проектировании и моделировании,
перейти к постановке оптимизационных задач.
Системы
автоматизированного
проектирования и
управления относятся к числу наиболее сложных современных
искусственных систем. Их проектирование и сопровождение
невозможны без системного подхода. Поэтому идеи и
положения системотехники входят составной частью в
дисциплины,
посвященные
изучению
современныхавтоматизированных систем и технологий их
создания и применения.
Интерпретация и конкретизация системного подхода имеют
место в ряде известных подходов с другими названиями,
которые также можно рассматривать как компоненты
системотехники. Таковы структурный, блочно-иерархический,
объектно-ориентированный подходы.
При структурном подходе, как разновидности системного,
требуется синтезировать варианты системы из компонентов
(блоков) и оценивать варианты при их частичном переборе с
предварительным
прогнозированием
характеристик
компонентов.
Блочно-иерархический
подход к
проектированию
использует идеи декомпозиции сложных описаний объектов и
соответственно средств их создания на иерархические уровни
и аспекты, вводит понятие стиля проектирования (восходящее
и нисходящее), устанавливает связь между параметрами
соседних иерархических уровней.
Ряд важных структурных принципов, используемых при
разработке информационных систем
и прежде всего
ихпрограммного обеспечения (ПО), выражен в подходе,
называемом объектно-ориентированным
проектированием(ООП). Такой подход имеет следующие
преимущества в решении проблем управления сложностью и
интеграции ПО:
вносит в модели приложений большую структурную
определенность, распределяя представленные в приложении
данные и процедуры между классами объектов;
сокращает объем спецификаций, благодаря введению в
описания
иерархии
объектов
и
отношений наследованиямежду свойствами объектов разных
уровней иерархии;
уменьшает вероятность искажения данных вследствие
ошибочных действий за счет ограничения доступа к
определенным категориям данных в объектах.



Описание
в
каждом
классе
объектов
допустимых
обращений к ним и принятых форматов сообщений облегчает
согласование и интеграцию ПО.
Для всех подходов к проектированию сложных систем
характерны также следующие особенности:
1.
Структуризация процесса проектирования, выражаемая
декомпозицией
проектных
задач
и
документации,
выделением стадий, этапов, проектных процедур. Эта
структуризация является сущностью блочно-иерархического
подхода к проектированию.
2.
Итерационный характер проектирования.
3.
Типизация и унификация проектных решений и средств
проектирования.
В теории систем и системотехнике введен ряд терминов,
среди них к базовым нужно отнести следующие понятия:







Система — множество элементов, находящихся в
отношениях и связях между собой.
Элемент — такая часть системы, представление о которой
нецелесообразно
подвергать
при
проектировании
дальнейшему членению.
Сложная система — система, характеризуемая большим
числом элементов и, что наиболее важно, большим числом
взаимосвязей элементов. Сложность системы определяется
также
видом
взаимосвязей
элементов,
свойствами
целенаправленности,
целостности,
членимости,
иерархичности,
многоаспектности.
Очевидно,
что
современные автоматизированные информационные системы
и,
в
частности,
системы
автоматизированного
проектирования, являются сложными в силу наличия у них
перечисленных свойств и признаков.
Подсистема — часть системы (подмножество элементов
и их взаимосвязей), которая имеет свойства системы.
Надсистема — система, по отношению к которой
рассматриваемая система является подсистемой.
Структура — отображение совокупности элементов
системы и их взаимосвязей; понятие структуры отличается от
понятия самой системы также тем, что при описании
структуры принимают во внимание лишь типы элементов и
связей без конкретизации значений их параметров.
Параметр — величина, выражающая свойство или
системы, или ее части, или влияющей на систему среды.
Обычно
вмоделях систем
в
качестве
параметров
рассматривают величины, не изменяющиеся в процессе
исследования
системы.
Параметры
подразделяют
на
внешние, внутренние и выходные, выражающие свойства
элементов системы, самой системы, внешней среды
соответственно. Векторы внутренних параметров, выходных
параметров и внешних







параметровобозначаются
соответственно.
Фазовая переменная — величина, характеризующая
энергетическое или информационное наполнение элемента
или подсистемы.
Состояние
—
совокупность
значений
фазовых
переменных, зафиксированных в одной временной точке
процесса функционирования.
Поведение (динамика) системы — изменение состояния
системы в процессе функционирования.
Система
без
последействия
—
ее
поведение
при
определяется заданием состояния в момент
и
вектором
внешних
воздействий
.
В
системах
с
последействием, кроме того, нужно знать предысторию
поведения,
т.е.
состояния
системы
в
моменты,
предшествующие
.
Вектор переменных , характеризующих состояние
(вектор переменных состояния) — неизбыточное множество
фазовых
переменных,
задание
значений
которых
в
некоторый момент времени полностью определяет поведение
системы в дальнейшем (в автономных системах без
последействия).
Пространство состояний
— множество возможных
значений вектора переменных состояния.
Фазовая
траектория
—
представление
процесса
(зависимости
) в виде последовательности точек в
пространстве состояний.
К характеристикам
следующие понятия:



сложных
систем
часто
относят
Целенаправленность — свойство искусственной системы,
выражающее назначение системы. Это свойство необходимо
для оценки эффективности вариантов системы.
Целостность — свойство системы, характеризующее
взаимосвязанность
элементов
и
наличие
зависимости
выходных параметров от параметров элементов, при этом
большинство выходных параметров не является простым
повторением или суммой параметров элементов.
Иерархичность
—
свойство
сложной
системы,
выражающее
возможность
и
целесообразность
ее
иерархического описания, т.е. представления в виде
нескольких уровней, между компонентами которых имеются
отношения целое-часть.
Составными частями системотехники являются следующие
основные разделы:
иерархическая
структура
систем,
проектирования;
анализ и моделирование систем;
синтез и оптимизация систем.



организация
их
Моделирование имеет две четко различимые задачи:
1.
создание моделей сложных систем (в англоязычном
написании — modeling);
2.
анализ свойств систем на основе исследования их
моделей (simulation).
Синтез также подразделяют на две задачи:
1.
синтез структуры проектируемых систем (структурный
синтез);
2.
выбор численных значений параметров элементов систем
(параметрический синтез).
Эти задачи относятся к области принятия проектных
решений.
Моделирование и оптимизацию желательно выполнять с
учетом статистической природы систем. Детерминированность
— лишь частный случай. При проектировании характерны
нехватка достоверных исходных данных, неопределенность
условий принятия решений. Учет статистического характера
данных при моделировании в значительной мере основан
наметоде статистических испытаний (методе Монте-Карло), а
принятие решений — на использовании нечетких множеств,
экспертных систем, эволюционных вычислений.
Пример 1
Компьютер является сложной системой в силу наличия у него
большого
числа элементов,
разнообразных
связей между
элементами
и
подсистемами,
свойств
целенаправленности,
целостности,
иерархичности.
К
подсистемам
компьютера
относятсяпроцессор (процессоры), оперативная
память, кэшпамять, шины, устройства ввода-вывода. В качестве надсистемы
могут выступать вычислительная сеть, автоматизированная и (или)
организационная система, к которым принадлежит компьютер.
Внутренние параметры — времена выполнения арифметических
операций, чтения (записи) в накопителях, пропускная способность
шин и др. Выходные параметры
—
производительность
компьютера,
емкость
оперативной
и внешней
памяти,
себестоимость, время наработки на отказ и др. Внешние параметры
— напряжение питания сети и его стабильность, температура
окружающей среды и др.
Пример 2
Для двигателя внутреннего сгорания подсистемами являются
коленчатый вал, механизм газораспределения, поршневая группа,
система смазки и охлаждения. Внутренние параметры — число
цилиндров, объем камеры сгорания и др. Выходные параметры —
мощность двигателя, КПД, расход топлива и др. Внешние
параметры — характеристики топлива, температура воздуха,
нагрузка на выходном валу.
Пример 3
Подсистемы электронного усилителя — усилительные каскады;
внутренние параметры — сопротивления резисторов, емкости
конденсаторов, параметры транзисторов; выходные параметры —
коэффициент усиления на средних частотах, полоса пропускания,
входное сопротивление; внешние параметры — температура
окружающей
среды,
напряжения
источников
питания,
сопротивление нагрузки.
Уровни проектирования
При
использовании блочно-иерархического
подхода к проектированию представления о проектируемой
системе расчленяют на иерархические уровни. На верхнем
уровне
используют
наименее
детализированное
представление, отражающее только самые общие черты и
особенности проектируемой системы. На следующих уровнях
степень подробности
описания
возрастает,
при
этом
рассматривают уже отдельные блоки системы, но с учетом
воздействий на каждый из них его соседей. Такой подход
позволяет на каждом иерархическом уровне формулировать
задачи приемлемой сложности, поддающиеся решению с
помощью имеющихся средств проектирования. Разбиение на
уровни должно быть таким, чтобы документация на блок
любого уровня была обозрима и воспринимаема одним
человеком.
Другими словами, блочно-иерархический подход есть
декомпозиционный подход (его можно назвать также
диакоптическим), который основан на разбиении сложной
задачи большой размерности на последовательно и (или)
параллельно решаемые группы задач малой размерности, что
существенно
сокращает
требования
к
используемым
вычислительным ресурсам или время решения задач.
Можно говорить не только об иерархических уровнях
спецификаций,
но
и
об
иерархических
уровнях
проектирования, понимая под каждым из них совокупность
спецификаций некоторого иерархического уровня совместно с
постановками задач, методами получения описаний и решения
возникающих проектных задач.
Список иерархических уровней в каждом приложении
может быть специфичным, но для большинства приложений
характерно следующее наиболее крупное выделение уровней:



системный уровень, на котором решают наиболее
общие задачи проектирования систем, машин и процессов;
результаты
проектирования
представляют
в
виде
структурных схем, генеральных планов, схем размещения
оборудования,диаграмм потоков данных и т.п.;
макроуровень, на котором проектируют отдельные
устройства,
узлы
машин
и
приборов;
результаты
представляют в виде функциональных, принципиальных и
кинематических схем, сборочных чертежей и т.п.;
микроуровень, на котором проектируют отдельные
детали и элементы машин и приборов.
В каждом приложении число выделяемых уровней и их
наименования
могут
быть
различными.
Так,
в
радиоэлектронике
микроуровень
часто
называют
компонентным,
макроуровень
— схемотехническим
уровнем. Между схемотехническим и системным уровнями
вводят уровень, называемый функционально-логическим
уровнем. В вычислительной технике системный уровень
подразделяют
на
уровни
проектирования
ЭВМ
(вычислительных систем) и вычислительных сетей. В
машиностроении имеются уровни деталей, узлов, машин,
комплексов.
В зависимости от последовательности решения задач
иерархических уровней различают нисходящее, восходящее и
смешанное
проектирование
(стили
проектирования).
Последовательность решения задач от нижних уровней к
верхним
характеризует восходящее
проектирование,
обратная
последовательность
приводит
к нисходящему
проектированию, в смешанном стиле имеются элементы как
восходящего,
так
и
нисходящего
проектирования.
В
большинстве случаев для сложных систем предпочитают
нисходящее проектирование. Отметим однако, что при
наличии заранее спроектированных составных блоков
(устройств) можно говорить о смешанном проектировании.
Неопределенность и нечеткость исходных данных при
нисходящем проектировании (так как еще не спроектированы
компоненты) или исходных требований при восходящем
проектировании (поскольку ТЗ имеется на всю систему, а не
на ее части) обусловливают необходимость прогнозирования
недостающих данных с последующим их уточнением, т.е.
последовательного приближения к окончательному решению
(итерационность проектирования).
Наряду с декомпозицией описаний на иерархические
уровни
применяют
разделение
представлений
о
проектируемых объектах на аспекты.
Аспект описания (страта) — описание системы или ее
части с некоторой оговоренной точки зрения, определяемой
функциональными, физическими или иного типа отношениями
между свойствами и элементами.
Различают аспекты функциональный, информационный,
структурный и поведенческий (процессный). Функциональное
описание относят к функциям системы и чаще всего
представляют его функциональными схемами. Получение
функциональных описаний часто называют функциональным
проектированием.
Информационное описание включает в себя основные
понятия предметной области (сущности), словесное пояснение
или
числовые
значения
характеристик
(атрибутов)
используемых объектов, а также описание связей между этими
понятиями
и
характеристиками. Информационные
модели можно представлять графически (графы, диаграммы
сущность-отношение), в виде таблиц или списков. Получение
информационных описаний часто называют информационным
проектированием или применительно к созданию баз данных
— инфологическим проектированием.
Структурное описание относится к морфологии системы,
характеризует составные части системы и их межсоединения и
может быть представлено структурными схемами, а также
различного рода конструкторской документацией. Получение
конструкторской документации, т.е. описание геометрических
форм изделий, состава компонентов и их пространственного
размещения, называют конструкторским проектирование м.
Поведенческое
описание характеризует
процессы
функционирования
(алгоритмы)
системы
и
(или)
технологические процессы создания системы. Разработка
алгоритмов и программного обеспечения систем является
предметомалгоритмического
проектирования ,
а
разработка технологических процессов изготовления изделий
— предметомтехнологического проектирования.
Иногда аспекты описаний связывают с подсистемами,
функционирование
которых
основано
на
различных
физических процессах.
Отметим, что в общем случае выделение страт может быть
неоднозначным. Так, помимо указанного подхода. очевидна
целесообразность
выделения
таких
аспектов,
как
функциональное
(разработка
принципов
действия,
структурных,
функциональных,
принципиальных
схем),
конструкторское (определение форм и пространственного
расположения
компонентов
изделий),
алгоритмическое
(разработка алгоритмов и программного обеспечения) и
технологическое (разработка технологических процессов)
проектирование систем. Примерами страт в случае САПР могут
служить также рассматриваемые далее виды обеспечения
автоматизированного проектирования.
Стадии проектирования
Стадии
проектирования —
наиболее
крупные
части проектирования, как процесса, развивающегося во
времени. В общем случае выделяют стадии научноисследовательских работ (НИР), эскизного проекта или
опытно-конструкторских работ (ОКР), технического, рабочего
проектов, испытаний опытных образцов или опытных партий.
Стадию
НИР
иногда
называют
предпроектными
исследованиями или стадией технического предложения.
Очевидно, что по мере перехода от стадии к стадии степень
подробности и тщательность проработки проекта возрастают,
и рабочий проект уже должен быть вполне достаточным для
изготовления опытных или серийных образцов. Близким к
определению стадии, но менее четко оговоренным понятием,
является понятие этапа проектирования. Проектирование на
начальных этапах, в процессе которого принимаются
принципиальные проектные решения по облику и принципам
действия
проектируемых
устройств
и
систем,
называют концептуальным проектированием.
Стадии
(этапы)
проектирования
подразделяют
на
составные части, называемые проектными процедурами.
Примерами проектных процедур могут служить подготовка
деталировочных
чертежей,
анализ
кинематики, моделированиепереходного
процесса, оптимизация параметров
и
другие
проектные
задачи. В свою очередь, проектные процедуры можно
расчленить
на
более
мелкие
компоненты,
называемые проектными
операциями,
например,
при
анализе прочности детали сеточными методами операциями
могут быть построение сетки, выбор или расчет внешних
воздействий, собственно моделирование полей напряжений и
деформаций, представление результатов моделирования в
графической и текстовой формах. Проектирование сводится к
выполнению некоторых последовательностей проектных
процедур — маршрутов проектирования.
Стремление
сократить
временные
затраты
на
проектирование
привело
к
разработке
методик параллельного
проектирования (совмещенного
проектирования), при котором параллельно во времени
решаются задачи, связанные друг с другом по входным и
выходным данным таким образом, что для решения одной из
них требуется знание результатов решения другой задачи.
Поскольку эти результаты к началу процедуры параллельного
проектирования еще не получены, в методике параллельного
проектирования должны быть указаны способы задания еще
не
определенных
значений
параметров.
Примерам
параллельного проектирования могут служить параллельная
разработка
аппаратных
и
программных
средств
вычислительных систем или одновременная разработка
самолета и средств его аэродромного обслуживания.
Иногда
разработку технического
задания на
проектирование называют внешним проектированием, а
реализацию ТЗ — внутренним проектированием.
В ТЗ на проектирование объекта указывают, по крайней
мере, следующие данные:
1.
2.
Назначение объекта;
Условия
эксплуатации.
Наряду
с
качественными
характеристиками (представленными в вербальной форме)
имеются
числовые
параметры,
называемые внешними
параметрами, для которых указаны области допустимых
значений. Примеры внешних параметров: температура
окружающей
среды,
внешние
силы,
электрические
напряжения, нагрузки и т.п.;
3.
Требования к выходным параметрам, т.е. к величинам,
характеризующим
свойства
объекта,
интересующие
потребителя. Эти требования выражены в виде условий
работоспособности:
,
где
— -й
выходной
параметр,
— вид отношения;
— норма -го
выходного параметра. В случае, если
— отношение
равенства, нужно задать требуемую точность выполнения
равенства.
Примеры условий работоспособности:


300;
расход топлива на 100 км пробега автомобиля < 8 л;
коэффициент усиления усилителя на средних частотах >
быстродействие процессора > 40 Мфлопс.




Модели и их параметры в САПР
В автоматизированных проектных процедурах вместо
еще
не
существующего
проектируемого
объекта
оперируют
некоторым
квазиобъектом
— моделью,
которая
отражает
некоторые
интересующие
исследователя свойства объекта. Модель может быть
физическим
объектом
(макет,
стенд)
или
спецификацией.
Среди
моделей-спецификаций
различают
функциональные,
поведенческие,
информационные, структурные модели (описания). Эти
модели называютматематическими моделями, если
они формализованы средствами аппарата и языка
математики.
В свою очередь, математические модели могут быть
геометрическими,
топологическими,
динамическими,
логическими и т.п., если они отражают соответствующие
свойства объектов. Наряду с математическими моделями
при
проектировании
используют функциональные






модели, информационные
модели в
виде
диаграмм
сущность-отношение, геометрические модели (чертежи).
В дальнейшем, если нет специальной оговорки, под
словом "модель" будем подразумевать математическую
модель.
Математическая функциональная модель в общем случае
представляет
собой
алгоритм
вычисления
вектора выходных параметров
при заданных векторах
параметров
элементов
(внутренних
параметров)
и внешних параметров .
Математические модели могут быть символическими и
численными. При использовании символических моделей
оперируют не значениями величин, а их символическими
обозначениями (идентификаторами). Численные модели
могут быть аналитическими моделями, т.е. их можно
представить в виде явно выраженных зависимостей
выходных параметров
от параметров внутренних
и
внешних , или алгоритмическими
моделями, в
которых
связь ,
и
задана
неявно
в
виде
алгоритма моделирования. Важнейший частный случай
алгоритмических моделей —имитационные модели,
они отображают процессы в системе при наличии
внешних воздействий на систему. Другими словами,
имитационная
модель
—
это
алгоритмическая поведенческая модель.
Классификацию математических моделей выполняют
также по ряду других признаков.
Так, в зависимости от принадлежности к тому или иному
иерархическому уровню выделяют модели уровней
системного, функционально-логического, макроуровня
(сосредоточенного) и микроуровня (распределенного).
По
характеру
используемого
для
описания
математического
аппарата
различают
модели
лингвистические,
теоретико-множественные,
абстрактно-алгебраические, нечеткие, автоматные и т.п.
Например,
на системном
уровне преимущественно
применяют
модели систем
массового
обслуживания и сети
Петри,
нафункциональнологическом уровне — автоматные модели на основе
аппарата
передаточных
функций
или
конечных
автоматов,
на макроуровне —
системы
алгебродифференциальных
уравнений,
на микроуровне —







дифференциальные уравнения в частных производных.
Особое
место
занимают геометрические
модели,
используемые в системах конструирования.
Кроме
того,
введены
понятия полных
моделей и макромоделей, моделей статических и
динамических, детерминированных и стохастических,
аналоговых и дискретных, символических и численных.
Полная модель объекта в отличие от макромодели
описывает не только процессы на внешних выводах
моделируемого объекта, но и внутренние для объекта
процессы.
Статические модели описывают статические состояния, в
них не присутствует время в качестве независимой
переменной. Динамические модели отражают поведение
системы, т.е. в них обязательно используется время.
Стохастические
и
детерминированные
модели
различаются в зависимости от учета или неучета
случайных факторов.
Информационные модели относятся к информационной
страте автоматизированных
систем,
их
используют
прежде всего при инфологическом проектировании баз
данных (БД) для описания связей между единицами
информации.
Наибольшие трудности возникают при создании моделей
слабоструктурированных систем, что характерно прежде
всего для системного уровня проектирования. Здесь
значительное внимание уделяется экспертным методам.
В теории системсформулированы общие рекомендации
по
подбору
экспертов
при
разработке
модели,
организации экспертизы, по обработке полученных
результатов. Достаточно общий подход к построению
моделей
сложных
слабоструктурированных
систем
выражен в методиках IDEF.
Обычно в имитационных моделях фигурируют величины,
характеризующие состояние моделируемой системы и
называемые фазовыми
переменными.
Так,
на
макроуровне имитационные модели представляют собой
системы алгебро-дифференциальных уравнений
(1)

где
— вектор фазовых переменных;
— время;
—


вектор начальных условий. К фазовым переменным
можно отнести токи и напряжения в электрических
системах, силы и скорости — в механических, давления
и расходы — в гидравлических.
В аналоговых
моделях фазовые
переменные
—
непрерывные величины, в дискретных
моделях—
дискретные, в частном случае дискретные модели
являются логическими (булевыми), в них состояние
системы и ее элементов описывается булевыми
величинами.
В
ряде
случаев
полезно
применение смешанных моделей, в которых одна
частьподсистем характеризуется аналоговыми моделями,
другая — логическими.
Выходные параметры систем могут быть двух типов. Вопервых, это параметры-функционалы, т.е. функционалы
зависимостей
в случае использования (1). Примеры
таких параметров: амплитуды сигналов, временные
задержки, мощности рассеивания и т.п. Во-вторых, это
параметры,
характеризующие
способность
проектируемого объекта работать при определенных
внешних условиях. Эти выходные параметры являются
граничными
значениями
диапазонов
внешних
переменных, в которых сохраняется работоспособность
объекта.
Проектные процедуры
Создать проект объекта (изделия или процесса) означает
выбрать структуру объекта, определить значения всех его
параметров и представить результаты в установленной форме.
Результаты (проектная документация) могут быть выражены в
виде чертежей, схем, пояснительных записок, программ для
программно-управляемого технологического оборудования и
других документов на бумаге или на машинных носителях
информации.
Разработка (или выбор) структуры объекта есть проектная
процедура, называемая структурным синтезом, а расчет
(или
выбор)
значений
параметров
элементов
—
процедура параметрического синтеза.
Задача
структурного
синтеза
формулируется
в системотехнике как задача принятия решений (ЗПР). Ее суть
заключается в определении цели, множества возможных
решений и ограничивающих условий.
Классификацию ЗПР осуществляют по ряду признаков. По
числу
критериев
различают
задачи
однои
многокритериальные.
По
степени
неопределенности
различают ЗПР детерминированные, ЗПР в условиях риска —
при наличии в формулировке задачи случайных параметров,
ЗПР в условиях неопределенности, т.е. при неполноте или
недостоверности исходной информации.
Реальные задачи проектирования, как правило, являются
многокритериальными. Одна из основных проблем постановки
многокритериальных
задач
—
установление
правил
предпочтения
вариантов.
Способы
сведения
многокритериальных
задач
к
однокритериальным
и
последующие пути решения изучаются в дисциплинах,
посвященных
методам оптимизации иматематическому
программированию.
Наличие случайных факторов усложняет решение ЗПР.
Основные подходы к решению ЗПР в условиях риска
заключаются или в решении "для наихудшего случая", или в
учете в целевой функции математического ожидания и
дисперсии выходных параметров. В первом случае задачу
решают как детерминированную при завышенных требованиях
к качеству решения, что является главным недостатком
подхода. Во втором случае достоверность результатов
решения намного выше, но возникают трудности с оценкой
целевой
функции.
Применение метода
Монте-Карло в
случаеалгоритмических
моделей становится
единственной
альтернативой и, следовательно, для решения требуются
значительные вычислительные ресурсы.
Существуют две группы ЗПР в условиях неопределенности.
Одна из них решается при наличии противодействия
разумного противника. Такие задачи изучаются в теории игр,
для задач проектирования в технике они не характерны. Во
второй группе достижению цели противодействие оказывают
силы природы. Для их решения полезно использовать теорию
и методы нечетких множеств.
При
синтезе
структуры автоматизированной
системы постановка задачи должна включать в качестве
исходных данных следующие сведения:





множество выполняемых системой функций (другими
словами, множество работ, каждая из которых может состоять
из одной или более операций); возможно, что в этом
множестве имеется частичная упорядоченность работ, что
может быть представлено в виде ориентированного графа, в
котором вершины соответствуют работам, а дуги —
отношениям порядка;
типы допустимых для использования серверов (машин),
выполняющих функции системы;
множество
внешних
источников
и
потребителей
информации;
во многих случаях задается также некоторая исходная
структура системы в виде взаимосвязанной совокупности
серверов определенных типов; эта структура может
рассматриваться как обобщенная избыточная или как
вариант первого приближения;
различного рода ограничения, в частности, ограничения
на затраты материальных ресурсов и (или) на времена
выполнения функций системы.
Задача заключается в синтезе (или коррекции) структуры,
определении
типов
серверов
(программно-аппаратных
средств), распределении функций по серверам таким образом,
чтобы
достигался
экстремум
целевой
функции
при
выполнении заданных ограничений.
Конструирование, разработка технологических процессов,
оформление
проектной
документации
—
частные
случаиструктурного синтеза.
Задачу параметрического
синтеза называют
параметрической оптимизацией (или оптимизацией), если ее
решают как задачу математического программирования, т.е.
где
— целевая функция;
—
параметров (называемых
также
варьируемыми);
область;
и
вектор управляемых
проектными
или
—
— функции-ограничения.
допустимая
Пример 1
Электронный
усилитель:
управляемые
параметры
=
(параметры резисторов, конденсаторов, транзисторов); выходные
параметры
= ( и
полосы пропускания;
— верхняя и нижняя граничные частоты
— коэффициент усиления на средних
частотах;
—
входное
сопротивление).
В
качестве
целевой
функции
можно
выбрать
параметр ,
а
условия
работоспособности остальных выходных параметров отнести к
функциям-ограничениям.
Следующая после синтеза группа проектных процедур —
процедуры анализа. Цель анализа — получение информации
о характере функционирования и значениях выходных
параметров
при заданных структуре объекта, сведениях
овнешних параметрах
и параметрах элементов . Если
заданы фиксированные значения параметров
и , то имеет
место
процедура одновариантного
анализа.
Одновариантный
анализ
часто
выполняется
с
помощью моделирования.
Моделирование
состоит
из
этапов формирования
модели (modeling)
и исследования
модели (решения,
simulation). В свою очередь, формирование модели включает
две процедуры: во-первых, разработку моделей отдельных
компонентов, во-вторых, формирование модели системы из
моделей компонентов.
Первая из этих процедур выполняется предварительно по
отношению
к
типовым
компонентам
вне
маршрута
проектирования конкретных объектов. Как правило, модели
компонентов разрабатываются специалистами в прикладных
областях, причем знающими требования к моделям и формам
их представления в САПР. Обычно в помощь разработчику
моделей в САПР предлагаются методики и вспомогательные
средства, например, в виде программ анализа для
экспериментальной отработки моделей. Созданные модели
включаются в библиотеки моделей прикладных программ
анализа.
На маршруте проектирования каждого нового объекта
выполняется вторая процедура (рис. 1) — формирование
модели системы с использованием библиотечных моделей
компонентов. Как правило, эта процедура выполняется
автоматически по алгоритмам, включенным в заранее
разработанные программы анализа. Примеры таких программ
имеются в различных приложениях и прежде всего в отраслях
общего машиностроения и радиоэлектроники.
Рис. 1. Формирование модели системы
При применении этих программ пользователь описывает
исследуемый объект на входном языке программы анализа не
в виде системы уравнений, которая будет получена
автоматически,
а
в
виде
списка
элементов
структуры, эквивалентной
схемы,
эскиза
или
чертежа
конструкции.
Вторая процедура моделирования — simulation — сводится
к решению уравнений математической модели, например,
системы дифференциальных уравнений, и вычислению
вектора выходных параметров .
Если заданы статистические сведения о параметрах
и
нужно
получить
оценки
числовых
характеристик
распределений выходных параметров (например, оценки
математических
ожиданий
и
дисперсий),
то
это
процедурастатистического
анализа.
Если
требуется
рассчитать
матрицы
абсолютной
и
(или)
относительной
чувствительности,
то
имеет
место
задача анализа чувствительности.
Элемент
матрицы
называют
абсолютным коэффициентом
чувствительности,
он
представляет собой частную производную -го выходного
параметра
по -ому
параметру
.
Другими
словами,
является элементом вектора градиента -го
выходного параметра. На практике удобнее использовать
безразмерные
относительные
коэффициенты
чувствительности
, характеризующие степень влияния
изменений параметров элементов на изменения выходных
параметров:
где
и
—
номинальные
значения
параметров
и
соответственно.
В процедурах многовариантного анализа определяется
влияние внешних параметров, разброса и нестабильности
параметров элементов на выходные параметры. Процедуры
статистического анализа и анализа чувствительности —
характерные примеры процедур многовариантного анализа.
Выполнение
анализа
и
сопоставление
полученных
результатов
с
желаемыми
значениями
называют
процедуройверификации.
Жизненный цикл изделий
Жизненный цикл промышленных изделий (ЖЦИ) включает
ряд этапов, начиная от зарождения идеи нового продукта до
его утилизации по окончании срока использования. Основные
этапы
жизненного
цикла
промышленной
продукции
представлены
на
рис. 1.
К
ним
относятся
этапы проектирования,
технологической
подготовки
производства (ТПП), собственно производства, реализации
продукции, эксплуатации и, наконец, утилизации (в число
этапов жизненного цикла могут также входить маркетинг,
закупки материалов и комплектующих, предоставление услуг,
упаковка и хранение, монтаж и ввод в эксплуатацию).
Рассмотрим содержание основных этапов ЖЦИ для изделий
машиностроения.
На
этапе
проектирования
выполняются проектные
процедуры — формирование принципиального решения,
разработкагеометрических
моделей и
чертежей,
расчеты, моделирование процессов, оптимизация и т.п.
На этапе подготовки производства разрабатываются
маршрутная
и
операционная
технологии
изготовления
деталей, реализуемые в программах для станков ЧПУ;
технология сборки и монтажа изделий; технология контроля и
испытаний.
На этапе производства осуществляются: календарное и
оперативное планирование; приобретение материалов и
комплектующих с их входным контролем; механообработки и
другие требуемые виды обработки; контроль результатов
обработки; сборка; испытания и итоговый контроль.
на
постпроизводственных
этапах
выполняются
консервация,
упаковка,
транспортировка;
монтаж
у
потребителя;
эксплуатация,
обслуживание,
ремонт;
утилизация.
На всех этапах жизненного цикла имеются свои целевые
установки. При этом участники жизненного цикла стремятся
достичь
поставленных
целей
с
максимальной
эффективностью.
На
этапах
проектирования,
ТПП
и
производства нужно обеспечить выполнение требований,
предъявляемых к производимому продукту, при заданной
степени надежности изделия и минимизации материальных и
временных затрат, что необходимо для достижения успеха в
конкурентной борьбе в условиях рыночной экономики.
Понятие эффективности охватывает не только снижение
себестоимости
продукции
и
сокращение
сроков
проектирования и производства, но и обеспечение удобства
освоения и снижения затрат на будущую эксплуатацию
изделий. Особую важность требования удобства эксплуатации
имеют для сложной техники, например, в таких отраслях, как
авиа- или автомобилестроение.
Достижение
поставленных
целей
на
современных
предприятиях, выпускающих сложные технические изделия,
оказывается
невозможным
без
широкого
использования автоматизированных
систем (АС),
основанных на применении компьютеров и предназначенных
для создания, переработки и использования всей необходимой
информации о свойствах изделий и сопровождающих
процессов. Специфика задач, решаемых на различных этапах
жизненного цикла изделий, обусловливает разнообразие
применяемых АС.
На рис. 1 указаны основные типы АС с их привязкой к тем
или иным этапам жизненного цикла изделий.
Рис. 1. Основные типы автоматизированных систем
Автоматизация проектирования осуществляется САПР. В
САПР машиностроительных отраслей промышленности принято
выделять системы функционального, конструкторского и
технологического проектирования. Первые из них называют
системами расчетов и инженерного анализа или системами
CAE (Computer
Aided
Engineering). Системы
конструкторского
проектирования называют системами
CAD
(Computer
Aided
Design).
Проектирование
технологических
процессов
выполняется
в
автоматизированных системах технологической подготовки
производства (АСТПП), входящих как составная часть
в системы CAM (Computer Aided Manufacturing).
Для решения проблем совместного функционирования
компонентов САПР различного назначения, координации
работы систем CAE/CAD/CAM, управления
проектными
данными и проектированием разрабатываются системы,
получившие
название
систем
управления
проектными
данными PDM (Product Data Management). Системы PDM либо
входят в состав модулей конкретной САПР, либо имеют
самостоятельное значение и могут работать совместно с
разными САПР.
На большинстве этапов жизненного цикла, начиная с
определения предприятий-поставщиков исходных материалов
и компонентов и кончая реализацией продукции, требуются
услуги системы управления цепочками поставок — Supply
Chain Management (SCM). Цепь поставок обычно определяют
как совокупность стадий увеличения добавленной стоимости
продукции при ее движении от компаний-поставщиков к
компаниям-потребителям.
Управление
цепью
поставок
подразумевает
продвижение
материального
потока
с
минимальными издержками. При планировании производства
система
SCM
управляет
стратегией
позиционирования
продукции. Если время производственного цикла меньше
времени
ожидания
заказчика
на
получение
готовой
продукции, то можно применять стратегию "изготовление на
заказ".
Иначе
приходится
использовать
стратегию
"изготовление
на
склад".
При
этом
во
время
производственного
цикла
должно
входить
время
на
размещение и исполнение заказов на необходимые материалы
и комплектующие на предприятиях-поставщиках.
В последнее время усилия многих компаний, производящих
программно-аппаратные средства автоматизированных систем,
направлены на создание систем электронного бизнеса (Ecommerce). Задачи, решаемые системами E-commerce,
сводятся не только к организации на сайтах Internet витрин
товаров и услуг. Они объединяют в едином информационном
пространстве запросы заказчиков и данные о возможностях
множества
организаций,
специализирующихся
на
предоставлении различных услуг и выполнении тех или иных
процедур и операций по проектированию, изготовлению,
поставкам
заказанных
изделий.
Проектирование
непосредственно под заказ позволяет добиться наилучших
параметров создаваемой продукции, а оптимальный выбор
исполнителей и цепочек поставок ведет к минимизации
времени и стоимости выполнения заказа. Координация работы
многих предприятий-партнеров с использованием технологий
Internet
возлагается
на
системы
E-commerce,
называемые системами
управления
данными
в
интегрированном
информационном
пространстве CPC
(Collaborative Product Commerce)
Управление в промышленности, как и в любых сложных
системах, имеет иерархическую структуру. В общей структуре
управления выделяют несколько иерархических уровней,
показанных на рис. 2. Автоматизация управления на
различных
уровнях
реализуется
с
помощью автоматизированных систем управления (АСУ).
Рис. 2. Общая структура управления
Информационная
поддержка
этапа
производства
продукции осуществляется автоматизированными системами
управления
предприятием (АСУП)
и автоматизированными
системами управления технологическими процессами (АСУТП).
К АСУП относятся системы планирования и управления
предприятием
ERP
(Enterprise
Resource
Planning),
планирования производства и требований к материалам MRP2 (Manufacturing Requirement Planning) и упомянутые выше
системы SCM. Наиболее развитые системы ERP выполняют
различные бизнес-функции, связанные с планированием
производства, закупками, сбытом продукции, анализом
перспектив маркетинга, управлением финансами, персоналом,
складским хозяйством, учетом основных фондов и т.п.
Системы MRP-2 ориентированы, главным образом, на бизнесфункции, непосредственно связанные с производством. В
некоторых случаях системы SCM и MRP-2 входят как
подсистемы в ERP, в последнее время их чаще рассматривают
как самостоятельные системы.
Промежуточное положение между АСУП и АСУТП занимает
производственная исполнительная система MES(Manufacturing
Execution
Systems),
предназначенная
для
решения
оперативных
задач
управления
проектированием,
производством и маркетингом.
В состав АСУТП входит система SCADA (Supervisory Control
and Data Acquisition), выполняющая диспетчерские функции
(сбор и обработка данных о состоянии оборудования и
технологических процессов) и помогающая разрабатывать ПО
для встроенного оборудования. Для непосредственного
программного управления технологическим оборудованием
используют системы CNC (Computer Numerical Control) на
базе контроллеров (специализированных
компьютеров,
называемых
промышленными),
которые
встроены
в
технологическое оборудование с числовым программным
управлением
(ЧПУ).
Системы
CNC
называют
также встроенными компьютерными системами.
На этапе реализации продукции выполняются функции
управления отношениями с заказчиками и покупателями,
проводится
анализ
рыночной
ситуации,
определяются
перспективы спроса на планируемые изделия. Эти функции
возложены на систему CRM.
Функции
обучения
обслуживающего
персонала
выполняют интерактивные
электронные
технические
руководства IETM (Interactive Electronic Technical Manuals). С
их помощью выполняются диагностические операции, поиск
отказавших компонентов, заказ дополнительных запасных
деталей и некоторые другие операции на этапе эксплуатации
систем.
Управление
данными
в
едином
информационном
пространстве на протяжении всех этапов жизненного цикла
изделий возлагается на систему управления жизненным
циклов
продукции PLM (Product
Lifecycle
Management).
Характерная особенность PLM — обеспечение взаимодействия
различных автоматизированных систем многих предприятий,
т.е. технологии PLM (включая технологии CPC) являются
основой, интегрирующей информационное пространство, в
котором функционируют САПР, ERP, PDM, SCM, CRM и другие
автоматизированные системы многих предприятий.
Структура САПР
Как и любая сложная система, САПР состоит из подсистем.
Различают подсистемы проектирующие и обслуживающие.
Проектирующие
подсистемы непосредственно
выполняют проектные процедуры. Примерами проектирующих
подсистем
могут
служить подсистемы
геометрического
трехмерного моделирования механических
объектов,
изготовления
конструкторской
документации,
схемотехнического
анализа, трассировки соединений
в
печатных платах.
Обслуживающие
подсистемы обеспечивают
функционирование
проектирующих
подсистем,
их
совокупность часто называют системной средой (или
оболочкой) САПР. Типичными обслуживающими подсистемами
являются
подсистемыуправления
проектными
данными,
подсистемы разработки и сопровождения программного
обеспечения CASE (Computer Aided Software Engineering),
обучающие
подсистемы
для
освоения
пользователями
технологий, реализованных в САПР.
Структурирование
САПР
по
различным
аспектам
обусловливает
появление видов
обеспечения
САПР.
Принято выделять семь видов обеспечения:







техническое
обеспечение (ТО),
включающее
различные
аппаратные
средства
(ЭВМ, периферийные
устройства, сетевое коммутационное оборудование, линии
связи, измерительные средства);
математическое
обеспечение (МО), объединяющее
математические методы, модели и алгоритмы для выполнения
проектирования;
программное
обеспечение (ПО),
представляемое
компьютерными программами САПР;
информационное обеспечение (ИО), состоящее из баз
данных (БД), систем управления базами данных (СУБД), а
также включающее другие данные, используемые при
проектировании;
лингвистическое
обеспечение (ЛО),
выражаемое
языками общения между проектировщиками и ЭВМ, языками
программирования и языками обмена данными между
техническими средствами САПР;
методическое
обеспечение (МетО),
включающее
различные методики проектирования, иногда к МетО относят
также математическое обеспечение;
организационное обеспечение (ОО), представляемое
штатными расписаниями, должностными инструкциями и
другими
документами,
регламентирующими
работу
проектного предприятия.
Отметим, что вся совокупность используемых при
проектировании данных называется информационным фондом
САПР.Базой данных называют упорядоченную совокупность
данных, отображающих свойства объектов и их взаимосвязи в
некоторой предметной области. Доступ к БД для чтения,
записи
и
модификации
данных
осуществляется
с
помощью СУБД, а совокупность БД и СУБД называют банком
данных (БнД).
Классификацию САПР осуществляют по ряду признаков,
например, по приложению, целевому назначению, масштабам
(комплексности
решаемых
задач),
характеру
базовой
подсистемы — ядра САПР.
По приложениям наиболее представительными и широко
используемыми являются следующие группы САПР.
1.
САПР
для
применения
в
отраслях
общего
машиностроения.
Их
часто
называют машиностроительными
САПР или
MCAD
(Mechanical CAD) системами.
2.
САПР в области радиоэлектроники: системы ECAD
(Electronic CAD) или EDA (Electronic Design Automation).
3.
САПР в области архитектуры и строительства.
Кроме того, известно большое число специализированных
САПР,
или
выделяемых
в
указанных
группах,
или
представляющих самостоятельную ветвь в классификации.
Примерами
таких
систем
являются
САПР
больших
интегральных схем (БИС); САПР летательных аппаратов; САПР
электрических машин и т.п.
По целевому назначению различают САПР или подсистемы
САПР,
обеспечивающие
разные
аспекты
(страты)
проектирования.
Так,
в
составе
MCAD
появляются
CAE/CAD/CAM системы.
По
масштабам
различают
отдельные программнометодические комплексы (ПМК) САПР, например, комплекс
анализа прочности механических изделий в соответствии
с методом конечных элементов (МКЭ) или комплекс анализа
электронных схем; системы ПМК; системы с уникальными
архитектурами не только программного (software), но и
технического (hardware) обеспечений.
По характеру базовой подсистемы различают следующие
разновидности САПР.
1.
САПР
на
базе
подсистемы машинной
графики и геометрического
моделирования.
Эти
САПР
ориентированы на приложения, где основной процедурой
проектирования является конструирование, т.е. определение
пространственных форм и взаимного расположения объектов.
Поэтому к этой группе систем относится большинство САПР в
области машиностроения, построенных на базе графических
ядер.
В
настоящее
время
широко
используются
унифицированныеграфические ядра, применяемые более чем
в одной САПР, это ядра Parasolid фирмы EDS Unigraphics и
ACIS фирмы Intergraph.
2.
САПР на базе СУБД. Они ориентированы на приложения,
в которых при сравнительно несложных математических
расчетах перерабатывается большой объем данных. Такие
САПР
преимущественно
встречаются
в
техникоэкономических приложениях, например, при проектировании
бизнес-планов, но имеют место также при проектировании
объектов,
подобных
щитам
управления
в
системах
автоматики.
3.
САПР на базе
конкретного прикладного пакета.
Фактически это автономно используемые программнометодические
комплексы,
например, имитационного
моделирования производственных
процессов,
расчета
прочности по методу конечных элементов, синтеза и анализа
систем автоматического управления и т.п. Часто такие САПР
относят к системам CAE. Примерами могут служить программы
логического
проектирования
на
базе языка
VHDL,
математические пакеты типа MathCAD.
4.
Комплексные (интегрированные) САПР, состоящие из
совокупности подсистем предыдущих видов. Характерными
примерами комплексных САПР являются CAE/CAD/CAMсистемы в машиностроении или САПР БИС. Так, САПР БИС
включает в себя СУБД и подсистемы проектирования
компонентов,
принципиальных,
логических
и
функциональных схем, топологии кристаллов, тестов для
проверки годности изделий. Для управления столь сложными
системами применяют специализированные системные среды.
5.
Введение в CALS-технологии
6. CALS-технологии определяют
как
технологии
комплексной компьютеризации сфер промышленного
производства,
цель
которых
—
унификация
и
стандартизация
спецификаций
промышленной
продукции на всех этапах ее жизненного цикла.
Основные спецификации представлены проектной,
технологической,
производственной,
маркетинговой,
эксплуатационной документацией. В CALS-системах
предусмотрены
хранение,
обработка
и
передача
информации в компьютерных средах, оперативный
доступ к данным в нужное время и в нужном месте с
возможностью их правильной интерпретации.
7. Главная задача создания и внедрения CALS-технологий
—
обеспечение
единообразного
описания
и
интерпретации данных, независимо от места и времени
их получения в общей системе, имеющей масштабы
вплоть
до
глобальных.
Структура
проектной,
технологической и эксплуатационной документации,
языки
ее
представления
должны
быть
стандартизованными.
Тогда
становится
реальной
успешная
работа
над
общим
проектом
разных
коллективов, разделенных во времени и пространстве и
использующих разные CAE/CAD/CAM-системы. Одна и та
же
конструкторская
документация
может
быть
использована многократно в разных проектах, а одна и
та же технологическая документация адаптирована к
разным производственным условиям, что позволяет
существенно сократить и удешевить общий цикл
проектирования и производства. Кроме того, упрощается
эксплуатация систем.
8. Терминология в области CALS еще окончательно не
установилась. Так, первоначально аббревиатура CALS
расшифровывалась
как
Computer
Aided
Logistics
Systems,
т.е.
автоматизированная
логистическая
поддержка. Поскольку под логистикой обычно понимают
дисциплину, посвященную вопросам снабжения и
управления запасами, а функции CALS намного шире и
связаны
со
всеми
этапами
жизненного
цикла
промышленных
изделий,
стали
применять
более
соответствующую предмету расшифровку CALS —
Continuous Acquisition and Lifecycle Support. В русском
языке
понятию
CALS
соответствуют
ИПИ
(Информационная Поддержка Изделий).
9. CALS-технологии
призваны
служить
средством,
интегрирующим промышленные автоматизированные
системы в единую многофункциональную систему.
Целью
интеграции
автоматизированных
систем
проектирования и управления является повышение
эффективности создания и использования сложной
техники.
10.
В чем выражается повышение эффективности?
11.
Во-первых, повышается качество изделий за счет
более полного учета имеющейся информации при
проектировании и принятии управленческих решений.
Так,
обоснованность
решений,
принимаемых
в автоматизированной
системе
управления
предприятием (АСУП),
будет
выше,
если
лицо,
принимающее решение и соответствующие программы
АСУП имеют оперативный доступ не только к базе
данных АСУП, но и к базам данных других
автоматизированных систем (САПР, АСТПП и АСУТП) и,
следовательно, могут оптимизировать планы работ,
содержание
заявок,
распределение
исполнителей,
выделение финансов и т.п. При этом под оперативным
доступом следует понимать не просто возможность
считывания данных из баз данных (БД), но и легкость их
правильной интерпретации, т.е. согласованность по
синтаксису и семантике спротоколами, принятыми в
АСУП. То же относится и к другим системам, например,
технологические подсистемы должны с необходимостью
воспринимать и правильно интерпретировать данные,
поступающие
от
подсистем
автоматизированного
конструирования. Последнего не так легко добиться,
если основное предприятие и организации-смежники
работают с разными автоматизированными системами.
12.
Во-вторых,
сокращаются
материальные
и
временные затраты на проектирование и изготовление.
Применение CALS позволяет существенно сократить
объемы проектных работ, так как описания ранее
выполненных удачных разработок компонентов и
устройств, многих составных частей оборудования,
машин и систем, проектировавшихся ранее, хранятся в
базах данных сетевых серверов, доступных любому
пользователю технологии CALS. Доступность опять же
обеспечивается согласованностью форматов, способов,
руководств в разных частях общей интегрированной
системы. Кроме того, появляются более широкие
возможности для специализации предприятий, вплоть до
создания
виртуальных
предприятий,
что
также
способствует снижению затрат.
13.
В-третьих, существенно снижаются затраты на
эксплуатацию,
благодаря
реализации
функций интегрированной логистической поддержки.
Существенно
облегчается
решение
проблем
ремонтопригодности,
интеграции
продукции
в
различного рода системы и среды, адаптации к
меняющимся условиям эксплуатации и т.п.
14.
Эти преимущества интеграции данных достигаются
применением современных CALS-технологий.
15.
Промышленные автоматизированные системы могут
работать автономно, и в настоящее время так обычно и
происходит. Однако эффективность автоматизации будет
заметно выше, если данные, генерируемые в одной из
систем будут доступны в других системах, поскольку
принимаемые
в
них
решения
станут
более
обоснованными. Более того, при унификации форматов и
семантики
данных
становится
возможным
создание виртуальных предприятий, под которыми
понимаются объединения юридически независимых
предприятий, осуществляющих общие проекты и
производства
на
основе
информационного
взаимодействия.
16.
Чтобы достичь должного уровня взаимодействия
промышленных автоматизированных систем требуется
создание единого информационного пространства в
рамках как отдельных предприятий, так и, что более
важно, в рамках объединения предприятий. Единое
информационное
пространство
обеспечивается
благодаря унификации как формы, так и содержания
информации о конкретных изделиях на различных
этапах их жизненного цикла.
17.
Унификация формы достигается использованием
стандартных
форматов
и
языков
представления
информации в межпрограммных обменах и при
документировании.
18.
Унификация
содержания,
понимаемая
как
однозначная правильная интерпретация данных о
конкретном изделии на всех этапах его жизненного
цикла,
обеспечивается
разработкой онтологий (метаописаний)
приложений,
закрепляемых в прикладных протоколах CALS.
19.
Унификация перечней и наименований сущностей,
атрибутов и отношений в определенных предметных
областях является основой для единого электронного
описания изделия в CALS-пространстве.
20.
Развитие CALS-технологии стимулирует образование
виртуальных
производств,
при
которых
процесс
создания спецификаций с информацией для программно
управляемого
технологического
оборудования,
достаточной для изготовления изделия, может быть
распределен во времени и пространстве между многими
организационно автономными проектными студиями.
Среди
несомненных
достижений
CALS-технологии
следует отметить легкость распространения передовых
проектных
решений,
возможность
многократного
воспроизведения частей проекта в новых разработках и
др.
21.
Ожидается,
что
успех
на
рынке
сложной
технической продукции будет немыслим вне технологии
CALS.
22.
Проблематика CALS имеет ряд аспектов. По
аналогии
с
аспектами
автоматизированного
проектирования целесообразно эти аспекты называть
видами обеспечения CALS и выделять лингвистическое,
информационное,
программное,
математическое,
методическое,
техническое
и
организационное
обеспечения CALS.
23.
К лингвистическому обеспечению относятся языки и
форматы
данных
о
промышленных
изделиях
и
процессах, используемые для представления и обмена
информацией на этапах жизненного цикла изделий.
24.
Информационное
обеспечение составляют
базы
данных, включающие сведения о промышленных
изделиях, используемые разными системами в процессе
проектирования,
производства,
эксплуатации
и
утилизации продукции. В состав информационного
обеспечения входят также серии международных и
национальных CALS стандартов и спецификаций.
25.
Программное
обеспечение CALS
представлено
программными комплексами, предназначенными для
поддержки единого информационного пространства
этапов жизненного цикла изделий. Это прежде
всего системы
управления
документами и
документооборотом, управления
проектными
данными (PDM),
взаимодействия
предприятий
в совместном
электронном
бизнесе (CPC),
подготовки интерактивных электронных технических
руководств и некоторые другие.
26.
Математическое
обеспечение CALS
включает
методы
и
алгоритмы
создания
и
использования моделей взаимодействия
различных
систем в CALS-технологиях. Среди этих методов, в
первую очередь, следует назвать методы имитационного
моделирования сложных систем, методы планирования
процессов и распределения ресурсов.
27.
Методическое
обеспечение CALS
представлено
методиками
выполнения
таких
процессов,
как
параллельное
(совмещенное)
проектирование
и
производство, структурирование сложных объектов, их
функциональное
и
информационное
моделирование, объектно-ориентированное
проектирование, создание онтологий приложений.
28.
К техническому
обеспечению CALS
относят
аппаратные средства получения, хранения, обработки,
визуализации
данных
при
информационном
сопровождении
изделий.
Взаимодействие
частей
виртуальных предприятий, систем, поддерживающих
разные этапы жизненного цикла изделий, происходит
через линии передачи данных и сетевое коммутирующее
оборудование.
29.
Наконец, организационное
обеспечение CALS
представлено
различного
рода
документами,
совокупностью
соглашений
и
инструкций,
регламентирующих роли и обязанности участников
жизненного цикла промышленных изделий.
30.
Этапы проектирования
автоматизированных систем
Методики и средства проектирования автоматизированных
систем (АС) и, в частности, САПР подразделяются на три
крупных уровня, соответствующих созданию интегрированной
корпоративной системы, отдельных автоматизированных
систем
проектирования
и
управления, программно-
методических комплексов и компонентов автоматизированных
систем.
Для каждого класса АС (САПР, ERP, геоинформационные
системы и т.д.) можно указать фирмы, специализирующиеся
на разработке программных (а иногда и программноаппаратных) систем. Многие из них на основе одной из
базовых технологий реализуют свой подход к созданию АС и
придерживаются стратегии либо тотального поставщика, либо
открытости
и
расширения
системы
приложениями
и
дополнениями третьих фирм.
В России действует государственный стандарт на стадии
создания автоматизированных систем ГОСТ 34.601-90.
Существует и международный стандарт на стадии жизненного
цикла программной продукции (ISO 12207:1995). Как
собственно АС, так и компоненты АС являются сложными
системами и при их проектировании нужно использовать один
из стилей проектирования:



нисходящее
проектирование (Top-of-Design);
четкая
реализация
нисходящего
проектирования
приводит
к
спиральной модели разработки ПО, на каждом витке спирали
блоки предыдущего уровня детализируются, используются
обратные связи (альтернативой является так называемая
каскадная модель, относящаяся к поочередной реализации
частей системы);
восходящее проектирование (Bottom-of-Design);
эволюционное проектирование (Middle-of-Design).
Чаще
всего
применяют
нисходящий
стиль блочноиерархического проектирования.
Рассмотрим этапы нисходящего проектирования АС.
Верхний уровень проектирования АС часто называют
концептуальным
проектированием.
Концептуальное
проектирование
выполняют
в
процессе предпроектных
исследований,
формулировки ТЗ,
разработки
эскизного
проекта ипрототипирования (согласно ГОСТ 34.601-90, эти
стадии
называют
формированием
требований
к
АС,
разработкой концепции АС и эскизным проектом).
Предпроектные исследования проводят путем анализа
(обследования)
деятельности
предприятия
(компании,
учреждения,
офиса),
на
котором
создается
или
модернизируется АС. При этом нужно получить ответы на
вопросы: что не устраивает в существующей технологии? Что
можно улучшить? Кому это нужно и, следовательно, каков
будет эффект? Перед обследованием формируются и в
процессе его проведения уточняются цели обследования —
определение возможностей и ресурсов для повышения
эффективности функционирования предприятия на основе
автоматизации
процессов
управления,
проектирования,
документооборота и т.п. Содержание обследования —
выявление структуры предприятия, выполняемых функций,
информационных потоков, имеющихся опыта и средств
автоматизации. Обследование проводят системные аналитики
(системные интеграторы) совместно с представителями
организации-заказчика.
На основе анализа результатов обследования строят
модель, отражающую деятельность предприятия на данный
момент (до реорганизации). Ее называют моделью "As Is".
Далее разрабатывают исходную концепцию АС. Эта концепция
включает в себя предложения по изменению структуры
предприятия,
взаимодействию
подразделений,
информационным потокам, что выражается в модели "To Be"
(как должно быть).
Результаты анализа конкретизируются в ТЗ на создание
АС. В ТЗ указывают потоки входной информации, типы
выходных документов и предоставляемых услуг, уровень
защиты информации, требования к производительности
(пропускной способности) и т.п. ТЗ направляют заказчику для
обсуждения и окончательного согласования.
Эскизный проект (техническое предложение) представляют
в виде проектной документации, описывающей архитектуру
системы, структуру ее подсистем, состав модулей. Здесь же
содержатся предложения по выбору базовых программноаппаратных средств, которые должны учитывать прогноз
развития предприятия.
В отношении аппаратных средств и особенно ПО такой
выбор
чаще
всего
есть
выбор
фирмы-поставщика
необходимых средств (или, по крайней мере, базового ПО),
так как правильная совместная работа программ разных фирм
достигается с большим трудом. В проекте может быть
предложено несколько вариантов выбора. При анализе
выясняются
возможности
покрытия
автоматизируемых
функций
имеющимися
программными
продуктами
и,
следовательно, объемы работ по разработке оригинального
ПО. Подобный анализ необходим для предварительной оценки
временных и материальных затрат на автоматизацию. Учет
ресурсных ограничений позволяет уточнить достижимые
масштабы автоматизации, подразделить проектирование АС на
работы первой, второй и т.д. очереди.
После
принятия
эскизного
проекта
разрабатывают
прототип АС, представляющий собой набор программ,
эмулирующих
работу
готовой
системы.
Благодаря
прототипированию можно не только разработчикам, но и
будущим пользователям АС увидеть контуры и особенности
системы
и,
следовательно,
заблаговременно
внести
коррективы в проект.
Как на этапе обследования, так и на последующих этапах
целесообразно придерживаться определенной дисциплины
фиксации
и
представления
получаемых
результатов,
основанной на той или иной методике формализации
спецификаций. Формализация нужна для однозначного
понимания
исполнителями
и
заказчиком
требований,
ограничений и принимаемых решений.
При концептуальном проектировании применяют ряд
спецификаций, среди которых центральное место занимают
модели преобразования, хранения и передачи информации.
Модели, полученные в процессе обследования предприятия,
являются моделями его функционирования. В процессе
разработки
АС
модели,
как
правило,
претерпевают
существенные изменения (переход от "As Is" к "To Be") и в
окончательном виде модель "To Be" рассматривают в качестве
модели проектируемой АС.
Различают
функциональные,
информационные,
поведенческие и структурные модели. Функциональная
модельсистемы
описывает
совокупность
выполняемых
системой
функций. Информационная
модель отражает
структуры
данных
—
их
состав
и
взаимосвязи. Поведенческая
модель описывает
информационные процессы (динамику функционирования), в
ней фигурируют такие категории, как состояние системы,
событие, переход из одного состояния в другое, условия
перехода,
последовательность
событий,
осуществляется
привязка ко времени. Структурная модельхарактеризует
морфологию системы (ее построение) — состав подсистем, их
взаимосвязи.
Содержанием
последующих
этапов
нисходящего
проектирования (согласно ГОСТ 34.601-90, это стадии
разработки технического проекта, рабочей документации,
ввода
в
действие)
является
уточнение
перечней
приобретаемого оборудования и готовых программных
продуктов,
построение
системной
среды,
детальное
инфологическое проектирование БД и их первоначального
наполнения, разработка собственного оригинального ПО,
которая, в свою очередь, делится на ряд этапов нисходящего
проектирования. Эти работы составляют содержание рабочего
проектирования. После этого следуют закупка и инсталляция
программно-аппаратных средств, внедрение и опытная
эксплуатация системы.
Особое место в ряду проектных задач занимает разработка
проекта корпоративной
вычислительной
сети,
посколькутехническое
обеспечение АС
имеет
сетевую
структуру.
Если территориально АС располагается в одном здании или
в
нескольких
близко
расположенных
зданиях,
то
корпоративная сеть может быть выполнена в виде
совокупности нескольких локальных подсетей, связанных
опорнойлокальной сетью. Кроме выбора типов подсетей,
связных протоколов и
коммутационного
оборудования
приходится решать задачи распределения узлов по подсетям,
выделения серверов, выбора сетевого ПО, определения
способа
управления
данными
в
выбранной
схеме распределенных вычислений и т.п.
Если АС располагается в удаленных друг от друга пунктах,
в частности, расположенных в разных городах, то решается
вопрос об аренде каналов связи для корпоративной сети,
поскольку
альтернативный
вариант
использования выделенного канала в большинстве случаев
оказывается неприемлемым по причине высокой цены.
Естественно, что при этом прежде всего рассматривается
возможность использования услуг Internet. Возникающие при
этом проблемы связаны с обеспечением информационной
безопасности и надежности доставки сообщений.
Понятие проектирования
Какое определение понятия "проектирование" Вы считаете
правильным?
1.
совокупность
моделирование;
работ,
включающих
расчеты
и
2.
совокупность
работ,
направленных
на
получение
принципиального решения или облика будущего изделия;
3.
совокупность
работ,
имеющих
целью
создание,
преобразование и представление в принятой форме образа
некоторого еще не существующего объекта;
4.
совокупность
работ,
имеющих
целью
обосновать
принятые конструктивные решения.
Ответ
1.
2.
3.
4.
Неправильно
Неправильно
Правильно
Неправильно
При неправильных ответах см. проектирование.
Итерационный характер проектирования
Чем обусловлен итерационный характер проектирования?
1.
разделением
проектных
работ
между
группами
проектировщиков;
2.
недостаточной определенностью исходных данных;
3.
недостаточной производительностью вычислительных
средств в используемых САПР;
4.
применением нисходящего стиля проектирования.
Ответ
1.
2.
3.
4.
Неправильно
Правильно
Неправильно
Неправильно
При неправильных ответах см. нисходящее проектирование.
словие работоспособности
Условие работоспособности — это:
1.
ограничение, накладываемое на выходной параметр в
техническом задании на проектирование;
2.
ограничение на диапазон допустимых значений внешнего
параметра;
3.
4.
ограничение на срок службы изделия;
требования к квалификации обслуживающего персонала
при эксплуатации изделия.
Ответ
1.
2.
3.
4.
Правильно
Неправильно
Неправильно
Неправильно
При неправильных ответах см. условие работоспособности.
Выходные параметры
Отметьте параметры из нижеследующего списка, которые
Вы считаете выходными в модели электронного усилителя:
1.
2.
коэффициент полезного действия;
разделительная
емкость
между
первыми
двумя
каскадами;
3.
коэффициент усиления на средних частотах;
4.
напряжение источника питания;
5.
входное сопротивление;
6.
сопротивление резистора в корректирующей RC-цепочке.
Ответ
1.
2.
3.
4.
5.
6.
Правильно
Неправильно
Правильно
Неправильно
Правильно
Неправильно
При неправильных ответах см. внутренний параметр, внешний
параметр.
Внутренниие параметры
Отметьте параметры из нижеследующего списка, которые
Вы считаете внутренними в модели электронного усилителя:
1.
коэффициент полезного действия;
2.
разделительная
емкость
между
первыми
двумя
каскадами;
3.
коэффициент усиления на средних частотах;
4.
напряжение источника питания;
5.
входное сопротивление;
6.
сопротивление резистора в корректирующей RC-цепочке.
Ответ
1.
2.
3.
4.
5.
6.
Неправильно
Правильно
Неправильно
Неправильно
Неправильно
Правильно
При неправильных ответах см. выходной параметр, внешний
параметр.
Программируемые логические интегральные схемы
Какой метод из перечисленных
репрограммируемость ПЛИС?
1.
2.
3.
4.
обеспечивает
нанесение дополнительного слоя металлизации;
создание "теневой" памяти;
расплавление проводников и изолирующих перемычек;
переключение
с
помощью
МОП-транзисторов
с
плавающим затвором.
Ответ
1.
2.
3.
4.
ниже
Неправильно
Правильно
Неправильно
Правильно
При неправильных ответах см. ECAD.
Глава 2. Техническое обеспечение САПР
2.1. Требования к техническому обеспечению САПР
2.2. Процессоры ЭВМ
2.3. Память ЭВМ
2.4. Мониторы
2.5. Периферийные устройства
2.6. Шины компьютера
2.7. Типы вычислительных машин и систем
2.8. Персональный компьютер
2.9. Рабочие станции
2.10. Архитектуры серверов и суперкомпьютеров
2.11. Примеры серверов
2.12. Суперкомпьютеры XXI века
Требования к техническому
обеспечению САПР
Техническое обеспечение САПР включает в себя различные
технические
средства
(hardware),
используемые
для
выполнения автоматизированного проектирования, а именно
вычислительные системы, ЭВМ (компьютеры), периферийные
устройства, сетевое оборудование, а также оборудование
некоторых
вспомогательных
систем
(например,
измерительных), поддерживающих проектирование. Отметим,
что вычислительной
системой (в отличие от ЭВМ и
вычислительной сети) называют совокупность аппаратных и
программных средств, совместно используемых при решении
задач и размещаемых компактно на территории, размеры
которой соизмеримы с размерами аппаратных средств.
Используемые в САПР технические средства должны
обеспечивать:



выполнение всех необходимых проектных процедур, для
которых имеется соответствующее ПО;
взаимодействие между проектировщиками и ЭВМ,
поддержку интерактивного режима работы;
взаимодействие
между
членами
коллектива,
выполняющими работу над общим проектом.
Первое из этих требований выполняется при наличии в
САПР вычислительных машин и систем с достаточными
производительностью и емкостью памяти.
Второе
требование
относится
к
пользовательскому
интерфейсу и выполняется за счет включения в САПР удобных
средств ввода-вывода данных и прежде всего устройств
обмена графической информацией.
Третье требование обусловливает объединение аппаратных
средств САПР в вычислительную сеть.
В результате общая структура ТО САПР представляет собой
сеть узлов, связанных между собой средой передачи
данных.Узлами (станциями данных) являются рабочие места
проектировщиков, часто называемые автоматизированными
рабочими местами (АРМ) или рабочими станциями (WS —
Workstation),
ими
могут
быть
также большие
ЭВМ (мейнфреймы),
отдельные
периферийные
и
измерительные устройства. Именно в АРМ должны быть
средства для интерфейса проектировщика с ЭВМ. Что касается
вычислительной мощности, то она может быть распределена
между различными узлами вычислительной сети.
Среда передачи данных представлена каналами передачи
данных, состоящими из линий связи и коммутационного
оборудования.
Процессоры ЭВМ
Назначение процессора — управление вычислительным
процессом и обработка данных в соответствии с заданной
программой.
Процессоры
могут
быть
универсальными,
способными
решать
широкий
круг
задач
и
специализированными, ориентированными на определенный
узкий круг задач. Примером универсальных процессоров
могут служить процессоры Pentium компании Intel, а к
специализированным
процессорам
относятся процессоры
графические, сигнальные, ввода/вывода и др.
Основная
характеристика
процессора
—
производительность
или быстродействие.
Используют
несколько разных показателей производительности. Наиболее
очевидным
и
исторически
первым
показателем
производительности является быстродействие, измеряемое
числом команд компьютера, выполняемых в единицу времени,
т.е. измеряемое в Mips (1 Mips соответствует 106 команд в
секунду). При решении научно-технических задач характерно
использование чисел с плавающей точкой, в этом случае
более справедлива оценка производительности в Mflops, т.е.
числом операций над числами с плавающей точкой в единицу
времени.
Однако оценки в Mips или Mflops зависят не только от
свойств компьютера, но и от особенностей решаемой задачи.
Поэтому используют и другие показатели производительности.
Так, быстродействие ПК обычно характеризуют тактовой
частотой компьютера. В случае высокопроизводительных ВС
быстродействие оценивают временем, затраченным на
решение некоторых тестовых задач. Такими тестовыми
задачами являются LINPACK, SPECint92, SPECfp92 и некоторые
другие. Например, LINPACK — это пакет программ для
решения систем линейных алгебраических уравнений. В набор
базовых подпрограмм в LINPACK входят подпрограммы
скалярного произведения векторов, умножения вектора на
скаляр, сложения векторов.
Память ЭВМ
Память компьютера
предназначена
для
информации и характеризуется следующими
параметрами:



хранения
основными
объемом (емкостью), т.е. максимально возможным числом
блоков данных, размещаемых в памяти;
быстродействием, характеризуемым средним временем
обращения к памяти (средним временем поиска, чтения и/или
записи одного блока данных);
ценой, отнесенной к одному блоку хранимых данных.
Память компьютера имеет иерархическую структуру в
связи с тем, что в едином запоминающем устройстве не
удается одновременно в должной мере удовлетворить
требования
большого
объема
памяти
и
высокого
быстродействия.
Поэтому
обычно
в
состав процессора включают
быстродействующую кэшпамять сравнительно
малого
объема
(часто
также
разделяемую на два или три уровня), далее по мере роста
объема
и
времени
обращения
к
памяти
выделяют оперативную память и внешнюю память.
Оперативная память представляет собой упорядоченный
массив однобайтовых ячеек, каждая из которых имеет свой
уникальный адрес (номер). Физически кэш и оперативная
память в современных компьютерах, как правило, являются
полупроводниковыми.
Для реализации внешней памяти используют магнитные и
оптические принципы записи и чтения информации. Внешнюю
память можно рассматривать как одномерное линейное
адресное пространство, состоящее из последовательности
байтов. В отличие от оперативной памяти, она является
энергонезависимой, имеет существенно большую емкость и
используется в качестве расширения основной памяти.
В зависимости от состава выполняемых операций
различают несколько типов памяти. Память с произвольным
доступом (операциями как чтения, так и записи) обычно
обозначают RAM (Random Access Memory), время доступа и,
следовательно, цикл обращения к любой ячейке RAM для
записи или считывания информации не зависят от места
расположения (адреса) ячейки в накопителе. В ЗУ с прямым
доступом, к которым относятся ЗУ на дисках, обращение
производится также в произвольном порядке, но не к ячейкам,
а к блокам данных (файлам), обрамляемых специальными
символами начала и конца. В ЗУ с последовательным
доступом, характерным примером которого является ЗУ на
магнитных лентах, информация хранится так же, как и в ЗУ на
дисках, в виде блоков, которые в пределах одного носителя
имеют последовательные адреса. Для доступа к какому-либо
блоку по его заданному адресу последовательно ищется
нужный том и далее просматриваются все номера блоков,
пока не будет найден нужный блок.
В отличие от RAM, постоянная память ROM (Read Only
Memory) предназначена только для чтения.
В качестве элементов оперативной памяти используют
ячейки, представляющие собой конденсаторы. Заряженный
конденсатор хранит "1", разряженный — "0". Во время
считывания информации конденсаторы разряжаются. Кроме
того,
заряд
в
конденсаторе
из-за
утечки
хранится
ограниченное время (несколько миллисекунд). Поэтому
необходима подзарядка, которая выполняется в процессе
регенерации информации. Это обстоятельство обусловило
название
памяти
подобного
типа
— динамическая
память или DRAM (Dynamic RAM). Малые размеры и простота
элементов DRAM позволяют получить довольно большую
емкость памяти, но из-за затрат времени на регенерацию
снижается быстродействие.
С целью повышения быстродействия DRAM разработано
несколько модификаций этого типа памяти. Синхронная
память типа SDRAM (Synchronous DRAM) отличается от
асинхронной памяти типа DRAM тем, что такты работы памяти
засинхронизированы с тактами работы процессора. Это
позволяет исключить циклы ожидания, имеющие место в
DRAM.
По сравнению с обычной SDRAM в памяти типа DDR SDRAM
(Double Date Rate SDRAM) при одной и той же частоте шины
памяти быстродействие удалось увеличить вдвое за счет того,
что обращения к памяти происходят дважды за такт — как по
переднему, так и по заднему фронту тактовых сигналов. В
памяти типа DDR2 в отличие от DDR возможна работа на
больших тактовых частотах. Например, в памяти DDR2-1066
при частоте шины 266 обеспечивается частота обращений к
памяти 1066 МГц. Память типа DDR3 имеет меньшее
потребление энергии по сравнению с DDR2.
В более быстрой статической памяти SRAM (Static RAM)
элементами памяти являются бистабильные ячейки, при
считывании информация не теряется и регенерация не
требуется. Поэтому SRAM более быстродействующая память и
используется
в
качестве
кэш-памяти.
Однако
SRAM
значительно дороже DRAM.
Память ROM может быть однократно программируемой, т.е.
позволять
лишь
однократную
первоначальную
запись
информации, или быть перепрограммируемой памятью. В
последнем случае различают виды памяти EPROM (Electrically
Programmable
ROM)
и EEPROM (Electrically
Erasable
Programmable ROM), которая называется также флешпамятью.
Свойство
репрограммируемости
основано
на
использовании МОП-транзисторов с двумя затворами —
основным и скрытым в слое диэлектрика (рис. 1). Подача
импульса повышенного напряжения на МОП-транзисторы в
ячейках, которые должны хранить нули, приводит к
появлению на скрытом затворе электрического заряда,
препятствующего образованию проводящего канала, что и
отождествляется как хранение нуля. Состояние нуля
сохраняется практически неограниченное время, но при
перепрограммировании заряд ликвидируется с помощью
внешнего ультрафиолетового облучения (в EPROM) или
электрического импульса, подаваемого на затворы (в
EEPROM).
Ультрафиолетовое
облучение
приводит
к
постепенному изменению свойств полупроводника, поэтому
память
EPROM
допускает
лишь
ограниченное
число
перепрограммирований, кроме того, перезапись происходит с
сравнительно большими затратами времени. Поэтому в
настоящее время основное внимание уделяется развитию
памяти типа EEPROM.
Рис. 1. МОП-транзистор с плавающим затвором
Примером использования ROM может служить память ROM
BIOS (ROM Basic Input Output System) в ПК, хранящая данные
для управления стандартными внешними устройствами.
Иногда говорят о памяти типа ECC. Это тип памяти, в
которой реализован режим ECC (Error Control Correction)
контроля функционирования памяти с восстановлением
ошибок. В этом режиме исправляются одиночные ошибки в
группах из 8 байтов.
Внешняя память, реализуемая на магнитных носителях,
представлена накопителями
на
магнитных
дисках и
магнитных лентах. Различают накопители на жестких
магнитных дисках (винчестеры) и накопители на гибких
магнитных дисках. В них хранят многократно используемые
программы и файлы данных. Накопители на магнитных
лентах,
имеющие
низкую
стоимость
хранения,
но
сравнительно большое время поиска информации, в основном
используют для архивов данных.
Для повышения быстродействия внешней памяти и
отказоустойчивости ВС применяют подсистемы внешней
памяти с высокой готовностью, реализующие технологию
избыточных массивов дисков RAID (Redundant Arrays of
Inexpensive Disks), объединенных в один блок с общим
интеллектуальным
контроллером.
RAID
есть
способ
организации
работы
нескольких
физических
дисков
аналогично одному логическому диску, при котором дисковый
массив представляется прикладной задаче как один диск. Как
правило, массивы RAID используются в серверах для
обеспечения надежности за счет дублирования данных.
Существует восемь уровней реализаций RAID (от 0 до 7) ,
которые отличаются друг от друга степенью избыточности,
методом доступа и пр. Стандарт седьмого уровня отличается
собственной
операционной
системой
и
высокой
производительностью.
Различают несколько уровней RAID. Так, на уровне
зеркальных дисков (RAID1) все массивы данных записываются
на два разных диска. В случае RAID уровней 2 и 3 надежность
повышается за счет использования избыточных кодов с
обнаружением
и
исправлением
ошибок.
Введение
параллелизма в операции считывания в RAID4 позволяет
увеличить быстродействие дисковой памяти. В RAID5
хранимая и контрольная информация распределяется по
нескольким дискам так, что при отказе одного из них,
оставшиеся работоспособные диски обеспечивают считывание
необходимых данных.
Мониторы
В
большинстве
современных мониторов используются
электронно-лучевые трубки, в которых свечение слоя
люминофора, которым покрыт экран, происходит под
действием его облучения потоком электронов, испускаемых
нагретым катодом трубки. В растровых дисплеях электронный
луч
под
действием
отклоняющей
системы
трубки
перемещается по горизонтали (строчная развертка) и
вертикали (кадровая развертка), вызывая засветку всех точек
(пикселей) экрана. В цветных мониторах имеется три луча, а
каждый
пиксель
экрана
состоит
из
трех
близко
расположенных точек, имеющих соответственно свечение
красного, зеленого и синего (RGB) цвета. Интенсивность
потока, а, следовательно, и яркость свечения регулируются
напряжением, подаваемым на управляющие электроды. Цвет
свечения каждого пиксела определяется яркостью свечения
всех трех точек пиксела, поэтому, управляя интенсивностью
потоков электронов во всех трех лучах, можно получить
множество различных оттенков свечения.
Память видеосистемы имеет столько ячеек, сколько должно
быть пикселей изображения, и в каждой ячейке записаны
три -разрядных двоичных числа, задающих интенсивность
RGB лучей. Эти
значений преобразуются в электрический
ток (напряжение), подаваемый на управляющие электроды.
Развертка засинхронизирована с чтением данных из ячеек
памяти, что и создает требуемое изображение на экране.
Основными
параметрами
мониторов
являются
разрешающая
способность
(число
пикселей),
полоса
пропускания видеоусилителя, частоты кадровой и строчной
разверток. Современные мониторы могут поддерживать
частоту кадровой развертки 100 Гц (чем выше частота, тем
меньше
отрицательное
влияние
мерцания
экрана)
и
разрешение до 1600×1200 пикселей.
Наряду
с дисплеями
на
электронно-лучевых
трубках все
большее
распространение
получаютжидкокристаллические дисплеи (LCD — Liquid
Cristal Display). Экран LCD-дисплея представляет собой
пространство
между
двумя
стеклянными
пластинами,
заполненное жидкими кристаллами. Жидкие кристаллы
способны под действием электрического поля изменять свою
ориентацию и тем самым изменять свою способность отражать
свет, создавая требуемое изображение.
Плазменные
мониторы (газоразрядные
экраны
или
панели) — устройства отображения информации, на основе
явления электрического разряда в газе, возбуждающего
свечение люминофора. Конструктивно представляет собой
множество ячеек, наполненных неоном или ксеноном и
помещенных между двумя стеклянными поверхностями,
которые совмещены с системами прозрачных электродов.
Подача напряжения на определенные электроды вызывает
газовый разряд, в соответствующей ячейке появляется
ультрафиолетовое излучение, которое, в свою очередь,
инициирует видимое свечение люминофора. В цветных
плазменных панелях для каждого пикселя имеется три ячейки
с красным, зеленым и синим свечением.
Появились первые образцы стереоскопических мониторов,
позволяющих без дополнительных стереоочков наблюдать
трехмерные изображения.
Новый
тип
дисплеев
создается
на
базе
технологий FED (Field
Emission
Display),
SED
(Surfaceconduction Electron-emitter Display) и NED (Nanotube Emissive
Display), в которых как и в ЭЛТ используется свечение
люминофора под действием потока электронов. Но в отличие
от ЭЛТ, в SED-дисплеях для каждого пиксела имеется свой
источник электронов - молибденовый конус диаметром около
200 нм. В NED-устройствах в качестве источников электронов
используютсяуглеродные нанотрубки.
Применение
большого
количества
миниатюрных
источников электронов позволяет сделать дисплеи гораздо
более тонкими, легкими и экономичными по сравнению с
устройствами на базе ЭЛТ. При этом SED-, FED- и NEDдисплеи обладают многими достоинствами систем на базе
Созраняются преимущества дисплеев на ЭЛТ - высокий
уровень яркости и контрастности изображения, большие углы
обзора, точность цветопередачи, малая инерционность смены
изображения. Недостаток: сложность и, следовательно,
высокая стоимость производства.
Периферийные устройства
Периферийные
устройства компьютеров
и
вычислительных систем (ВС) делят на устройства ввода,
устройства вывода и внешние запоминающие устройства.
К устройствам ввода относятся клавиатура, мышь, сканер,
дигитайзер.Устройства вывода представлены принтерами,
плоттерами (графопостроителями), дисплеями.
Обычно в автоматизированных системах пользователи
работают на автоматизированных рабочих местах (АРМ),
представляющих собой ВС, в которой имеются компьютер с
выходом в корпоративную сеть и необходимые периферийные
устройства. Типичный состав устройств АРМ: персональный
компьютер или рабочая станция с винчестером; устройства
ввода-вывода, включающие, как минимум, клавиатуру, мышь,
дисплей; дополнительно в состав АРМ могут входить принтер,
сканер,
плоттер
и
некоторые
другие
периферийные
устройства.
АРМ различаются своей ориентацией на определенные
группы проектных или бизнес процедур и соответственно
типами
и
характеристиками
вычислительного
и
периферийного оборудования. Так, в АРМ, предназначенных
для САПР, ГИС и других приложений, требующих обработки
графической
информации,
в
дисплеях
используются
растровые мониторы с цветными трубками. Типичные
значения характеристик мониторов находятся в следующих
пределах: размер экрана по диагонали 17...24 дюйма
(фактически изображение занимает площадь на 5...8 %
меньше,
чем
указывается
в
паспортных
данных).
Разрешающая способность монитора, т.е. число различимых
пикселей (отдельных точек, из которых состоит изображение),
определяется шагом между отверстиями в маске, через
которые проходит к экрану электронный луч в электроннолучевой трубке. Этот шаг находится в пределах 0,21...0,28 мм,
что соответствует количеству пикселей изображения от
800×600 до 1600×1200 и более. Чем выше разрешающая
способность, тем шире должна быть полоса пропускания
электронных блоков видеосистемы при одинаковой частоте
кадровой развертки. Полоса пропускания видеоусилителя
находится в пределах 110...150 МГц и потому частота
кадровой развертки обычно снижается с 135 Гц для
разрешения 640x480 до 60 Гц для разрешения 1600x1200.
Отметим, что чем ниже частота кадровой развертки, а это есть
частота регенерации изображения, тем заметнее мерцание
экрана. Желательно, чтобы эта частота была не ниже 75 Гц.
Для ввода информации в ЭВМ используют дигитайзеры,
интерактивные доски, сканеры, визуализаторы, цифровые
видеокамеры (Web-камеры).
Дигитайзеры предназначены
для
ручного
ввода
графической информации, в настоящее время их применяют
довольно редко. Дигитайзер имеет вид кульмана, по его
электронной доске перемещается курсор, на котором
расположен визир и кнопочная панель. Курсор имеет
электромагнитную связь с сеткой проводников в электронной
доске. При нажатии кнопки в некоторой позиции курсора
происходит занесение в память информации о координатах
этой позиции. Таким образом может осуществляться ручная
"сколка" чертежей.
Интерактивная доска (smart board), называемая также
интеллектуальной доской, позволяет пользователям выводить
на поверхность доски содержимое файлов из памяти
компьютера, Internet-источников, CD-ROM, редактировать
выводимое изображение, наносить поверх него свои
графические и текстовые фрагменты. Все, что пользователь
нарисовал или написал на доске, будет сохранено в виде
компьютерных файлов, может быть распечатано, послано по
электронной почте, помещено в Web-страницу.
Интерактивные доски являются удобным средством
представления, редактирования и сохранения учебных
материалов, формируемых преподавателем непосредственно
во время проведения учебных занятий. Кроме того, с помощью
интерактивной
доски
преподаватель
может
управлять
компьютером, выбирая нужные программы или файлы
простым указанием на экранную кнопку на поверхности
доски.
Для автоматического ввода информации с имеющихся
текстовых
или
графических
документов
используют сканерыпланшетного или протяжного типа и
визуализаторы (документ-камеры). Способ считывания оптический. В сканирующей головке сканера размещаются
оптоволоконные самофокусирующиеся линзы и фотоэлементы.
Разрешающая способность в разных моделях составляет от
300 до 2400 точек на дюйм (этот параметр часто обозначают
dpi). Считанная информация имеет растровую форму,
программное обеспечение сканера представляет ее в одном из
стандартных форматов, например tiff, gif, pcx, jpeg, и для
дальнейшей обработки может выполнить векторизацию перевод графической информации в векторную форму,
например, в формат dxf.
Визуализатор служит для считывания информации с
документа и ее передачи на вход компьютера для сохранения
в памяти (режим сканера) или на вход мультимедийного
проектора для увеличенного изображения на экране во время
презентаций или учебных занятий. В качестве документа
могут использоваться не только плоские страницы с текстом,
рисунками, чертежами, но и другие предметы, например,
детали
механических
или
электронных
устройств
сравнительно небольших размеров. Можно накладывать
изображения с визуализатора на другие изображения,
поступающие из компьютера.
Для съемки видеофрагментов с целью их последующего
включения в мультимедийные учебные материалы используют
цифровые видеокамеры.
Для вывода информации в виде твердых копий
применяют принтеры и плоттеры (графопостроители).
Первые из них ориентированы на получение документов
малого формата (А3, А4), вторые - для вывода графической
информации на широкоформатные носители.
В
этих
устройствах
преимущественно
используется
растровый (т.е. построчный) способ вывода со струйной
технологией печати. Печатающая система в струйных
устройствах включает в себя картридж и головку. Картридж баллон, заполненный чернилами (в цветных устройствах
имеется несколько картриджей, каждый с чернилами своего
цвета). Головка - матрица из сопел, из которых мельчайшие
чернильные капли поступают на носитель. Физический
принцип
действия
головки
термический
или
пьезоэлектрический. При термопечати выбрасывание капель
из сопла происходит под действием его нагревания, что
вызывает образование пара и выбрасывание капелек под
давлением. При пьезоэлектрическом способе пропускание
тока через пьезоэлемент приводит к изменению размера сопла
и выбрасыванию капли чернил. Второй способ дороже, но
позволяет получить более высококачественное изображение.
Типичная
разрешающая
способность
принтеров
и
плоттеров находится в пределах от 300 до 2400 dpi. Скорость
печати в монохромном режиме от 10 до 18 страниц в минуту, в
цветном режиме - несколько меньше.
Дигитайзеры, сканеры, принтеры, плоттеры могут входить
в состав АРМ или разделяться пользователями нескольких
рабочих станций в составе локальной вычислительной сети.
Вывод информации на экран увеличенных размеров, что
требуется во время презентаций и учебных занятий,
выполняется с помощью мультимедийных проекторов. Они
отличаются от видеопроекторов тем, что воспринимают не
видеосигналы, а цифровые сигналы от компьютера.
В
жидкокристаллических
проекторах
изображение
формируется
при
прохождении
света
через
жидкокристаллические матрицы, управляемые информацией,
считываемой из
памяти
компьютера.
В
современных
мультимедийных проекторах, как правило, используют три
матрицы, каждая для одного из цветов (красный, зеленый,
синий).
В проекторах, построенных по технологии DLP (Digital Light
Processing),
изображение
формируется
в
результате
отражения света от множества миниатюрных зеркал,
колеблющихся под воздействием информации, поступающей
от компьютера. Зеркала соответствуют пикселам изображения.
Чем ярче должен быть пиксел, тем большую часть периода
колебаний соответствующее зеркало отражает свет на систему
фокусировки и далее на экран. Оставшуюся часть периода луч
направляется
на
светопоглощающее
устройство.
В
одноматричных проекторах на пути отраженного луча света
ставится светофильтр в виде вращающегося диска с секторами
красного, зеленого и синего цветов. Частота переключения
зеркал значительно выше частоты вращения светофильтра и
каждому сектору диска соответствует свое распределение
времен засветки экрана и светопоглощающего устройства. Для
глаза человека засветка от всех секторов сливается и человек
видит тот или иной цветовой оттенок практически без
мерцания. В трехматричных проекторах для каждого из трех
цветов используется своя матрица зеркал.
Шины компьютера
Связь различных устройств вычислительной системы между
собой
осуществляется
с
помощью шин.
К
шинам
предъявляются
требования
высокого
быстродействия
(пропускной способности), высокой надежности и малой
стоимости. Пропускная способность шины определяется
объемом информации, передаваемой по шине в единицу
времени, и равна произведению тактовой частоты шины на ее
разрядность. Ширина шины определяется ее разрядностью,
т.е. количеством линий, входящих в состав шины.
Шины могут быть нескольких профилей в зависимости от
количества ведущих устройств, т.е. устройств, которые могут
инициировать транзакции чтения или записи. При нескольких
ведущих устройствах предоставление полномочий на захват
шины осуществляет схема арбитража.
Различают
синхронные
и
асинхронные
шины.
В
синхронных шинах предполагается, что тактовые сигналы
связываемых
устройств
засинхронизированы,
поэтому
возможно большее быстродействие, но при существенном
ограничении длины шины. В асинхронных шинах используется
старт-стопный режим передачи с предварительным запросом
связи и согласием на нее.
В
простейшем
случае компьютер может
иметь
единственную
шину,
называемую
системной.
Однако
применение
единственной
шины
не
позволяет
распараллеливать операции обмена, кроме того, для ряда
устройств
оказываются
не согласованными
параметры
быстродействия устройства и шины. Поэтому обычно
используют иерархию шин. В современных персональных
компьютерах
имеются системная
шина,
связывающая процессор с оперативной памятью (и возможно
с кэш-памятью второго
уровня),
шина
связи
с
видеоадаптером,
шина
для
подключения сетевого
контроллера и быстрых внешних устройств и шина медленных
устройств, таких как клавиатура, мышь, принтер и т.п.
В качестве шины для подключения графического
контроллера используются последовательные шины AGP или
PCI
Express. Шина
AGP (Accelerated
Graphics
Port)
представляет
собой
канал
передачи
данных
между
видеокартой и оперативной памятью. . Поскольку эта шина
соединяет только два устройства, то она является портом.
Используется для ускорения обработки графических данных,
подключается непосредственно с одной стороны к системному
контроллеру, а с другой стороны к видеокарте. В
современных материнских
платах преимущественно
испольуют PCI Express. В 2007 г. создан вариант PCI Express
2.0 с пропускной способностью одного соединения 5 Гбит/с, а
модификация PCI Express 3.0 будет обладать пропускной
способностью в 8 Гбит/с.
Шина PCI (Peripheral Component Interconnect) — шина
ввода/вывода для подключения периферийных устройств к
материнской плате компьютера. Иимеет 32- и 64-разрядный
варианты. Одни и те же линии служат для передачи и адреса,
и
данных.
Шина
PCI
используется
для
связи
с
быстродействующими устройствами, к числу которых относят
сетевую карту,сканер и некоторые другие устройства. PCI —
многомастерная шина, т.е. ведущими могут быть многие
устройства. Ведущее устройство, захватывая шину, управляет
ею, освобождая от этой работы центральный процессор.
Шина USB (Universal Serial Bus) — универсальная
последовательная шина для связи компьютера как с
среднескоростными, так и низкоскоростными периферийными
устройствами. Для подключения устройств к шине USB
используется четырёхпроводный кабель, при этом два
провода (витая пара) в дифференциальном включении
используются для приёма и передачи данных, а два провода
— для питания периферийного устройства. К одному
контроллеру шины USB можно подсоединить до 127 устройств
по топологии "звезда". Пропускная способность USB 2.0 от 1,5
до
480
Мбит/с
в
зависимости
от
характеристик
подклюячаемого устройства.
Шина ISA (Industry Standard Architecture) — 16-разрядная
стандартная шина для подключения медленных устройств
ввода/вывода таких, как мышь, клавиатура, модем и т.п. Ее
тактовая частота 8,3 МГц. Использовалась в компьютерах
прошлых поколений. Происходит передача функций ISA к
шинам USB или 1394.
В настоящее время для связи различных устройств в
вычислительных системах все более широкое применение
находят последовательные шины.
Типы вычислительных машин и
систем
Компьютеры (ЭВМ)
и вычислительные
системы (ВС)
принято классифицировать по ряду признаков.
В зависимости от производительности и стоимости
вычислительного оборудования выделяют несколько типов
ЭВМ и ВС, причем разные поколения вычислительной техники
имели свою шкалу типов. Так, до середины 80-х годов
прошлого века ЭВМ делили на микро-ЭВМ, мини-ЭВМ,
большие ЭВМ (ЭВМ высокой производительности) и суперЭВМ.
В настоящее время ЭВМ и ВС подразделяют на персональные
компьютеры, рабочие станции, серверы, мэйнфреймы,
кластеры и суперкомпьютеры.
Наибольшее
распространение
в САПР получили
персональные компьютеры (ПК), рабочие станции и серверы.
Персональные компьютеры появились в начале 80-х
годов прошлого века в результате трансформации мини-ЭВМ в
сравнительно
дешевые
настольные
системы
для
индивидуального
использования,
благодаря.
развитию
элементной базы вычислительной техники, приведшему к
созданию
больших
(БИС)
и
сверхбольших
(СБИС)
интегральных схем.
Рабочие станции представляют собой вычислительные
системы, ориентированные на решение задач в определенных
приложениях, прежде всего в автоматизированных системах
проектирования (САПР). Компьютеры в САПР ориентированы
на решение сложных проектных задач, что обусловливает
повышенные быстродействие и объем памяти, а также
расширенные
возможности
обработки
и
визуализации
графической информации рабочих станций по сравнению с
персональными компьютерами. Поэтому обычно рабочие
станции имеют более сложную структуру и более дорогие
устройства, чем ПК.
В вычислительных сетях выполнение функций, связанных с
обслуживанием всех узлов сети, возлагается на серверы. По
функциональному назначению различают серверы файловые,
баз данных, коммутационные, прикладные, почтовые и т.п.
Серверы,
как
правило,
должны
обладать
большим
быстродействием, надежностью и во многих случаях
увеличенной емкостью памяти по сравнению с компьютерами
в клиентских узлах.
Мэйнфреймами называют
большие
ЭВМ.
Высокая
производительность и большая емкость памяти обеспечивают
решение сложных проблем, позволяют использовать такие
компьютеры в качестве центрального узла ВС, управляющего
работой многих простых терминалов. Однако по отношению
производительность/цена
мэйнфреймы
обычно
заметно
уступают предшествующим типам ВС, их использование в
САПР в настоящее время весьма ограниченное. Однако в
последнее время компания IBM активно поддерживает
переход в крупных корпоративных информационных системах
на использование мэйнфремов в качествсе центров обработки
данных вместо большого числа распределенных серверов.
Кластер — это распределенная система компьютеров,
функционирующая как единая система с общими ресурсами.
Основная цель, обусловившая появление кластеров, —
сохранение работоспособности ВС путем перераспределения
нагрузки при выходе из строя части ресурсов. Кроме того,
кластеризация
—
один
из
путей
повышения
производительности ВС за счет совместного использования
многих компьютеров. Кластеры позволяют наращивать
вычислительную мощность, поскольку легко масштабируются.
В настоящее твремя (2008 г.) кластеры составляют
большинство в списке Top500 и тенденция к расширению их
присутствия в этом списке сохраняется.
Компьютеры, характеризуемые наибольшими значениями
производительности и цены среди других типов ЭВМ и ВС,
относят
к
категории суперкомпьютеров.
Так,
суперкомпьютер ASCI White SP Power3, созданный в IBM и
установленный в Ливерморской национальной лаборатории
США, бывший еще в 2001 г. мировым лидером по
производительности,
включал
8192 процессоров.
Его
производительность (по тесту Linpack) оценивается в 7226
Gflops, пиковая производительность — в 12,288 Tflops.
Оборудование этого суперкомпьютера занимает площадь,
равную площади двух баскетбольных площадок. Мировой
лидер 2007 г. по производительности суперкомпьютер IBM
BlueGene/L построен на 212992 процессорах и имел
производительность 478.2 Tflops. Лидер июня 2011 г. японский суперкомпьютер SPARC64 имеет производительность
8162 Tflops. Очевидно, что это уникальные вычислительные
системы, обычно используемые в научных и образовательных
учреждениях для решения сложных задач при научных
исследованиях.
Предполается,
что
к
2015
г.
производительность в 1 Tflops будет характеристикой
настольных компьютеров.
Персональный компьютер
Конструктивно персональный компьютер (ПК) состоит из
корпуса,
в
котором
размещены
материнская
плата;
видеоадаптер
(видеокарта);
дисководы
—
CD
ROM,
накопители на жестких (винчестер) и гибких (НГМД)
магнитных дисках; блок питания; динамик. В ПК имеются
резервные слоты (слоты расширения) для возможного
размещения печатных плат (карт) расширения — сетевого
контроллера, модема, других дополнительных устройств.
В состав ПК входят также внешние (по отношению к
корпусу ПК) устройства. Как правило, в их число входят
клавиатура, мышь, монитор. На рис. 1 представлена типичная
структурная схема ПК.
Рис. 1. Упрощенная типичная структурная схема персонального компьютера
Материнскую
плату (Motherboard
или
Mainboard)
называют также системной платой. Материнские платы
производят большое число компаний. На материнской плате
имеются
разъемы
для
вставки процессора и
модулей оперативной памяти. Интерфейс между процессором
и
другими
устройствами
осуществляется
с
помощью шин и чипсета (Chipset) — набора устанавливаемых
на
материнской
плате
специальных
микросхем,
так
называемых северного и южного мостов.
Для подключения ЦПУ к северному мосту (системному
контроллеру) используют системную шину (FSB — Front side
bus). Системная шина осуществляет обмены данными между
процессором и кэш-памятью второго уровня и через северный
мост с оперативной памятью. В качестве шины для
подключения графического контроллера на современных
материнских платах используется шина PCI Express.
Южный мост (периферийный контроллер) содержит
контроллеры периферийных
устройств (жёсткого
диска,
Ethernet, аудио), контроллеры шин PCI, PCI-Express и USB для
подключения периферийных устройств, а также контроллеры
шин, к которым подключаются устройства, не требующие
высокой пропускной способности
Интерфейс
с
внешними
накопителями
(винчестер,
магнитные
диски,
CD-ROM)
выполняется
через контроллерынакопителей.
Обычно
контроллеры
встроены в одну из микросхем и размещены на материнской
плате, а сами дисководы подключаются к плате с помощью
имеющихся на ней разъемов. В настоящее время используют
контроллеры типов IDE (Integrated Drive Electronics) или EIDE
(Enhanced IDE), допускающие подключение к одному
контроллеру до четырех устройств.
При необходимости увеличения скорости передачи данных
(например, при использовании ПК в качестве файлового
сервера) применяют интерфейс SCSI (Small Computer System
Interface). Он допускает подключение к одному контроллеру
до восьми устройств, скорость передачи данных доходит до 80
Мбайт/с. Для подключения шины SCSI к PCI требуется
специальный адаптер SCSI.
Видеокарта включает видеопамять (обычный объем от 2 до
8 Мбайт) и видеопроцессор, используемый для разгрузки
центрального процессора при выполнении определенных
графических операций и декодирования данных, например,
при вводе из видеокамеры.
На материнской плате размещается также блок BIOS (Basic
Input/Output System). Этот блок выполняет функции хранения
параметров конфигурации ПК, аппаратных драйверов и
программы POST, которая при включении компьютера
проверяет работоспособность его устройств. Блок реализован
в виде постоянной памяти объемом в 64 Кбайта.
Рабочие станции
Если
диапазон
применений персональных
компьютеров (ПК)
довольно
широк
—
от
домашних
компьютеров до профессиональных приложений в бизнесе и
технике, то рабочие станции с самого начала их появления
использовались
в
автоматизированных
системах
проектирования для решения сложных инженерных задач.
Поэтому к ним предъявлялись требования повышенных
производительности, надежности, емкости памяти, достижение
которых происходило за счет роста цены.
Различают рабочие станции высокой, средней и низкой
производительности.
От персональных компьютеров рабочие станции отличают
следующие особенности:


в
рабочих
станциях
высокой
производительности
характерно использование многопроцессорных структур;
высокая
производительность
достигается
также
преимущественным
применением процессоров RISC
архитектуры;


достижению
высокой
надежности
способствует
использование версий операционной системы UNIX;
проблемная
ориентация
на
приложения САПР обеспечивается развитыми графическими
средствами для интерактивной работы пользователей.
Большинство
рабочих
станций
ориентировано
на
применение в САПР машиностроения, в которых велика доля
графических операций. Для их эффективного выполнения
обычно
используют
специализированные графические
процессоры с аппаратной реализацией части графических
операций.
Примерами рабочих станций могут служить системы
компании IBM на двухъядерных процессорах:



IntelliStation A Pro — AMD® Opteron;
IntelliStation M Pro — Intel Pentium® 4;
IntelliStation Z Pro — 2 процессора Intel® Xeon. Корпус —
Mini Tower.
Графическая система построена на графических картах
3Dlabs® Wildcat Realizm® 800, ATI® FireGL V7100,
NVIDIA® Quadro FX 4500 и др.
Пример рабочей станции для САПР: IBM BladeCenter HC10,
2.66 GHz, 8 GB, графические карты NVIDIA Quadro FX 1600M,
операционные системы XP, XP64 Vista, Vista64.
Компания Fujitsu Siemens Computers выводит на рынок
рабочую станцию начального уровня Celsius W370. В ней
реализован ряд передовых технических инноваций, таких как
модернизированная более быстрая платформа на базе
четырехъядерных
процессоров
Intel
Core2
Quad
или
двухъядерных процессоров Intel Core2 Duo, графический
адаптер вплоть до nVidia Quadro FX 1700, а также привод Bluray Disc. Celsius W370 обеспечивает стабильную работу в
режиме 24/7, при этом уровень шума составляет всего 18 дБ,
что делает ее одной из самых тихих рабочих станций в своем
классе.
Архитектуры серверов и
суперкомпьютеров
Серверы и суперкомпьютеры,
как
правило,
являются
векторными
или
многопроцессорными вычислительными
системами. По классификации вычислительных систем,
предложенной Флинном, это системы типов SIMD или MIMD.
Компьютеры типа SIMD подразделяются на классы SMSIMD и DM-SIMD.Типичный SM-SIMD компьютер — это
векторный
компьютер,
включающий
в
свой
состав
скалярный процессор целочисленной
арифметики,
функциональные блоки для операций сложения и умножения
чисел с плавающей точкой, векторный процессор и
общую память. Векторный процессор имеет конвейерную
архитектуру — состоит из нескольких функциональных
блоков. Примеры векторных компьютеров — NEC SX-8B, CDC
Cyber 205, ETA-10.
Рис. 1. Архитектура SM-SIMD компьютера
Многопроцессорная вычислительная система состоит из
одного или более узлов. В свою очередь, узел определяется
как вычислительная система, работающая под управлением
одной операционной системы и состоящая из одного или
нескольких процессоров, оперативной памяти и системы
ввода-вывода.
Многопроцессорные системы класса DM-SIMD называют
также матричными (processor-array machines). Процессоры в
этих компьютерах образуют некоторую 2D или 3D сеточную
структуру. Один из процессоров (control processor) выполняет
управляющие
функции.
Поскольку
все
процессоры
одновременно должны выполнять одну и ту же операцию, не
любой вычислительный процесс может быть эффективно
реализован на компьютере этого класса. Поэтому системы DMSIMD,
как
правило,
являются
специализированными,
примерами могут быть сигнальные процессоры, компьютеры
для статистических расчетов по методу Монте-Карло и т.п.
Рис. 2. Архитектура DM-SIMD компьютера
Компьютеры
типа MIMD параллельно
выполняют
несколько потоков команд над несколькими потоками данных.
К
классу
компьютеров SM-MIMD,
называемых мультипроцессорами,
относятся
многопроцессорные
векторные
компьютеры PVP (Parallel
Vector Processing) и симметричные многопроцессорные
системы SMP (Symmetric multiproccssor).
Для
PVP-систем
характерно
наличие
специальных
векторно-конвейерных
процессоров,
в
которых
предусмотрены команды однотипной обработки векторов
независимых
данных,
эффективно
выполняющиеся
на конвейерных функциональных устройствах.
В SMP-системах один узел содержит два или более
одинаковых процессоров, разделяющих одно и то же адресное
пространство и имеющих равноправный доступ к другим
ресурсам узла. Так как с увеличением числа процессоров
существенно возрастают сложности обеспечения их связи
между собой и с общей памятью, архитектура SMP имеет
ограничения по числу используемых процессоров — узел
обычно включает не более 32 процессоров.
Рис. 3. Узел SMP
В системах SMP-архитектуры одни и те же данные могут
разделяться несколькими процессорами, а несколько копий
одной и той же величины могут находиться в разных видах
памяти.
В
этих
условиях
необходимо
обеспечивать
целостность данных или, другими словами, когерентность
данных, означающую что все процессоры, если им
необходимо в одно и то же время использовать одну и ту же
переменную, то эта переменная для всех процессоров будет
иметь одно и то же значение. А это означает, что изменения
одной и той же величины в разных процессорах должны
выполняться только последовательно.
В узлах современных компьютеров SMP-архитектуры
когерентность реализуется аппаратными средствами. Для
этого имеется специальная шина слежения (snoopy bus), через
которую происходит передача значений данных, обновляемых
некоторым
процессором,
на
другие
процессоры,
запрашивающие эти данные. Идентификация одних и тех же
данных в разных кэшах происходит по их отношению к одной
и той же части оперативной памяти. Например, в архитектуре
Cray SuperServer 6400 SMP используются четыре шины. Для
поддержки когерентности все процессоры подсоединяются к
каждой из четырех шин и реализуют протокол следящей
шины.
Архитектуры
с
поддержкой
когерентности
данных
получили название архитектур с неоднородным доступом к
памяти— NUMA (Non-Uniform Memory Access). Имеется ряд
разновидностей NUMA архитектур. В архитектуре CC-NUMA
для SMP компьютеров поддерживается когерентность кэшей
внутри каждого узла. Комбинация элементов SMP и MPP имеет
место вархитектуре NUMA-Q, предложенной компанией
Sequent Corp., ныне входящей в IBM.
В NUMA-Q вычислительные модули (quads) включают
каждый четыре процессора, связанных высокоскоростной
шиной. Множество таких модулей используются в качестве
строительных блоков для формирования одного узла NUMA-Q,
управляемого единой операционной системой. Модули одного
узла соединены с общей оперативной памятью с помощью
моста и внутренних для модулей шин. Используется протокол
SCI (Scalable Coherent Interface). Такая конфигурация
обеспечивает гораздо более короткий путь для сигналов
обращения к памяти, чем общепринятая архитектура общей
шины, которая требует, чтобы сигнал прошел весь путь по
шине. Подавляющее большинство обращений к памяти при
выполнении любой транзакции происходит внутри одного
модуля.
С помощью NUMA систем наиболее успешно решаются
задачи, имеющие малое пересечение данных, либо при
пересечении все процессы могут быть исполнены в рамках
одного модуля. Поэтому требуется программное обеспечение,
организующее вычислительный процесс в соответствии с
принципами NUMA так, чтобы связанные по данным процессы
находились в одном модуле.
В
архитектурах DM-MIMD проблемы
когерентности
решаются на программном уровне, поэтому число узлов может
быть заметно большим, чем в системах SM-MIMD. В частности,
в узлах компьютеров MPP-архитектуры используются свои
копии ОС и свое адресное пространство, поэтому не требуется
аппаратная поддержка когерентности, она поддерживается
программными средствами. Узлы в MPP обычно состоят из
одного процессора, небольшой памяти и нескольких устройств
ввода-вывода. Настройка производительности MPP-систем
включает
распределение
данных
для
того,
чтобы
минимизировать трафик между узлами.
Таким образом, практически все виды параллельных
систем по классификации Флинна относятся к одной группе
MIMD. Многие специалисты придерживаются классификации
MIMD, представленной на рис. 4 [1], где DM-MIMD
названымультикомпьютерами,
а
SM-MIMD
—
мультипроцессорами:
Рис. 4. Типы компьютеров MIMD
Данный поход позволяет различать два важных типа
многопроцессорных
систем
–
multiprocessors
(мультипроцессоры или системы с общей разделяемой
памятью) и multicomputers (мультикомпьютеры или системы с
распределенной памятью).
Список Top500 — см. здес
Примеры серверов
Серверы подразделяются по выполняемым функциям на
серверы файловые, баз данных, приложений и т.п., по
масштабом сети, в которой они используются, на серверы
локальные (для подсетей подразделений или отделов
предприятия) и корпоративные, по производительности — на
серверы высокого (hi-end), среднего и младшего уровней.
Для серверов характерны следующие особенности:



повышенные
требования
к
производительности,
поддерживаемые
применением
многопроцессорности
и
многоядерности,
развитием
технологий
ввода/вывода
данных, увеличением пропускной способности шин;
повышенные
требования
к
отказоустойчивости,
реализуемые, в частности, с помощью технологии дисковых
массивовRAID;
возможность удаленного управления.
Для серверов типично (по состоянию на конец 2006 г.)
применение архитектуры SMP, двух- и четырехядерных
процессоров,
интерфейсов Gigabit
Ethernet,
шин PCI
Express и Infiniband.
С
помощью
Infiniband
удается
строить
так
называемые серверы-лезвия (blade servers), отличительной
особенностью
которых
является
специфическое
конструктивное
исполнение.
На
платах
серверов,
размещаемых в корпусе высотой в несколько "юнитов" ("юнит"
или
величина
равна
1,75
дюйма),
располагается
несколько процессоров и оперативная память. Эта плата
вставляется в отдельный паз в корпусе, в котором умещаются
еще десятки подобных плат. В одну стойку устанавливается
несколько
серверов,
что
обеспечивает
существенное
увеличение вычислительной мощности на сравнительно малой
площади В отличие от традиционных систем, построенных по
принципу "один корпус — однасистемная плата", в серверахлезвиях несколько системных плат совместно используют
общий корпус, блок питания, общие вентиляторы и кабельные
соединения.
Совместное использование компонентов — корпуса,
соединительной платы, источника питания и вентиляторов —
обеспечивает значительную экономическую эффективность
аппаратного обеспечения. Меньшее число компонентов
обеспечивает повышенную надежность серверов, а плотность
их расположения позволяет значительно упростить их
обслуживание.
Для связи серверов друг с другом и с внешними
устройствами, в том числе с внешней памятью, вместо общей
шины
используется коммутирующая
матрица и
последовательный
интерфейс
Infiniband.
В
результате
получается компактная конструкция из серверных плат с
конструктивно отделенными дисковой памятью, устройствами
ввода/вывода, источниками питания, достигается уменьшение
потребления электроэнергии, тепловыделения, расстояний
между серверными платами по сравнению с аналогичными
характеристиками обычных кластеров.
Как правило, серверы корпоративного уровня работают
под управлением операционных систем UNIX, Linux, а
среднего уровня - также Microsoft Windows Server.
Пример сервера корпоративного уровня — IBM System p5
590 поддерживает до 32 процессоров POWER5+ с тактовой
частотой 2,1 ГГц, оперативную память объемом до 1 Tбайт и
может оснащаться дисковой подсистемой емкостью 18,7 Tбайт.
Примерами серверов среднего уровня могут служить
серверы:




IBM System x3755 (на процессорах AMD Opteron) и
System x3950 (на процессорах Intel Xeon) с емкостью
оперативной памяти в 128 Гбайт;
HP ProLiant DL380 G5 с установкой двух четырехъядерных
процессоров Intel Xeon, емкостью ОЗУ 32 Гбайт и дисковой
памяти 576 Гбайт;
Sun Fire E2900 на базе двухъядерных 64-разрядных
процессоров Sun UltraSPARC IV+, имеет 192 Гбайт
оперативной и 292 Гбайт дисковой памяти;
Dell
PowerEdge
6800
на
четырех
двухъядерных
процессорах Xeon MP.
Суперкомпьютеры XXI века
Рост производительности компьютеров пока подчиняется
феноменологическому закону Г.Мура, который гласит, что
производительность компьютеров увеличивается в два раза
каждые 18 месяцев. В ХХ веке этот закон был применим и к
быстродействию
(тактовой
частоте)
микропроцессоров.
Сегодня
возможности
увеличения
тактовой
частоты
исчерпаны, но рост производительности продолжается за счет
увеличения
числа
процессоров
в суперкомпьютерах и
серверах, а также построения многоядерных персональных
компьютеров. При этом справедливость закона Мура
сохраняется в отношении степени интеграции СБИС.
Рис. 1. Общий вид и стойка суперкомьютера IBM BlueGene/L
В табл. 1 приведены сведения о лидерах мирового списка
Top500 наиболее производительных компьютеров мира. Этот
список публикуется два раза в год, официальная страница
Top500 находится по адресу http://www.top500.org/. Данные
табл.
1
подтверждают
рост
производительности
по
показательному закону. В ноябрьских списках 2004-2007
годов первое место занимал суперкомпьютер BlueGene/L (рис.
1), включающий более 16 тысяч процессорных модулей (рис.
2), каждый из которых состоит из четырех двухпроцессорных
СБИС.
Таблица 1
Максимальная
производительность, Tflop/s
Число
процессоров
1999 Intel ASCI Red
2,4
9632
2000 IBM ASCI White
4,9
8192
2001 IBM ASCI White
7,3
8192
Год
Компьютер
2002
NEC Earth
Simulator
35,9
5120
2003
NEC Earth
Simulator
35,9
5120
2004 IBM BlueGene/L
70,7
32760
2005 IBM BlueGene/L
136,8
65536
2006 IBM BlueGene/L
281
131000
2007 IBM BlueGene/L
478.2
212992
2008 IBM Roadrunner
1025
122400
2009 Cray XT5-HE
1756
224162
2010 Tianhe-1A
2570
186368
8162
548352
2011
SPARC64 VIIIfx
2.0GHz
Лидер июньского 2008 г. списка — суперкомпьютер IBM
Roadrunner BladeCenter QS22/LS21 Cluster, построенный
напроцессорах Opteron 1.8 ГГц и PowerXCell 3.2 ГГц с
межстоечными связями на основе Infiniband. Компьютер
занимает приблизительно 12,000 1100 м2 и весит 226 тонн.
Энергопотребление — 3,9 MВт. Цена 133 млн долларов.
Рис. 2. Модуль с восемью процессорами
Лидер списка TOP500 июня 2010 г. - суперкомпьютер
Jaguar - Cray XT5-HE, построенный на 224 тысячах
процессоров Opteron с тактовой частотой 2.6 ГГц, имеет
производительность 1,76 Pflops.
Самый мощный компьютер, имевшийся в России к ноябрю
2007 г., — Cluster Hewlett-Packard BL460c на 3760
микропроцессорах Xeon, производительность 33,8 Tflop/s,
занимал 33 место в списке Top500. Установлен в
Объединенном суперкомпьютерном центре. В июне 2008 г. он
был отодвинут на 56-е место.
Лучший в России компьютер на 2008 г. — СКИФ Т60,
установленный в МГУ, занимал в списке Top500 36-е место с
производительностью 47 Tflop/s (рис. 3). Его характеристики:








Пиковая производительность 60 TFlop/s
Производительность на Linpack 47.04 TFlop/s (78.4% от
пиковой)
Число процессоров/ядер в системе 1250 / 5000
Модель процессора Intel Xeon E5472 3.0 ГГц
Объём оперативной памяти 5.5 Тбайт
Дисковая память узлов 60 Тбайт
Число стоек всего/вычислительных 42 / 14
Число блэйд-шасси/вычислительных узлов 63 / 625,
Все узлы в СКИФ Т60 связаны тремя независимыми сетями:



Системная сеть: InfiniBand DDR (Mellanox ConnectX), (Fat
Tree: 6x144 порта; латентность на уровне MPI: 1.3-1.95 мкс;
скорость обмена на уровне MPI: 1540 Мбайт/с);
Вспомогательная
сеть:
Gigabit
Ethernet
(2x(336
портов+4x10G) + 2x(48 портов+4x10G) + 24x10G);
Управляющая сеть: СКИФ-ServNet+IPMI.
Другие характеристики:




Общая занимаемая площадь 96 м2;
Общий вес 30 т ;
Номинальное энергопотребление компьютера 330 кВт;
Общее энергопотребление комплекса 720 кВт.
Рис. 3. СКИФ Т60
К
июню
2010
г.
лидером
среди
отечественных
суперкомпьютеров стал "Ломоносов", установленный в
вычислительном центре МГУ. Он занимает 13 место в Top500.
Его производительность 0,35 Pflops.
Помимо
суперкомпьютинга,
рост
вычислительных
мощностей
для
реализации
сложных
ресурсоемких
приложений
обеспечивается
в GRID-технологиях.
Это
технологии распределенных вычислений, основанные на
рациональной
загрузке
ресурсов
и/или
совместном
использовании
распределенных
ресурсов вычислительных
сетей.
GRID-технологии можно трактовать как способы создания
сверхмощных виртуальных суперкомпьютеров, которые могут
превосходить реальные суперкомпьютеры по показателям
производительности, масштабируемости, доступности при
существенно меньших затратах средств. Создание GRIDсистем подразумевает прежде всего стандартизацию в области
учета и доступа к ресурсам, обеспечения требуемого качества
и безопасности обслуживания.
Видеопамять
Подсчитайте
требуемый
объем
видеопамяти
для монитора размером 640×320 пикселов при использовании
256 цветовых оттенков.
Ответ
204800 байт
Глава 3. Математическое обеспечение анализа проектных
решенийТребования
к математическим
моделям и методам в САПР
Основными требованиями к математическим моделям
являются требования адекватности, точности, экономичности.
Модель всегда лишь приближенно отражает некоторые
свойства объекта. Адекватность имеет место, если модель
отражает заданные свойства объекта с приемлемой точностью.
Под точностью понимают степень соответствия оценок
одноименных свойств объекта и модели.
Экономичность
(вычислительная
эффективность )
определяется затратами ресурсов, требуемых для реализации
модели.
Поскольку
в САПР используются математические
модели, далее речь пойдет о характеристиках именно
математических
моделей,
и
экономичность
будет
характеризоваться затратами машинных времени и памяти.
Адекватность оценивается перечнем отражаемых свойств
и областями адекватности. Область адекватности — область
в
пространстве
параметров,
в
пределах
которой
погрешности модели остаются
в
допустимых
пределах.
Например, область адекватности линеаризованной модели
поверхности детали определяется системой неравенств:
где
,
и
— -я координата -й точки
поверхности в объекте и модели соответственно;
и
—
допущенная
и
предельно
допустимая
относительные
погрешности моделирования поверхности, максимум берется
по всем координатам и контролируемым точкам.
Отметим, что в большинстве случаев области адекватности
строятся в пространстве внешних переменных. Так, область
адекватности модели электронного радиоэлемента обычно
выражает допустимые для применения модели диапазоны
изменения моделируемых температур, внешних напряжений,
частот.
Аналогичные требования по точности и экономичности
фигурируют при выборе численных методов решения
уравнений модели.
Фазовые переменные, компонентные и
топологические уравнения
Исходные уравнения для формирования моделей на
макроуровне
Исходное математическое описание процессов в объектах
на макроуровне представлено
системами
обыкновенных
дифференциальных
и
алгебраических
уравнений.
Аналитические решения таких систем при типичных значениях
их порядков в практических задачах получить не удается,
поэтому
в САПР преимущественно
используются алгоритмические модели. В этом параграфе
изложен
обобщенный
подход
к
формированию
алгоритмических моделей на макроуровне, справедливый для
большинства приложений.
Исходными
для формирования
математических
моделей объектов на макроуровне являются компонентные и
топологические уравнения.
Компонентными
уравнениями называют
уравнения,
описывающие свойства элементов (компонентов), другими
словами, математическая
модель
элемента (ММЭ)
представляется компонентными уравнениями.
Топологические уравнения описывают взаимосвязи в
составе моделируемой системы.
В совокупности компонентные и топологические уравнения
конкретной
физической
системы
представляют
собой
исходную математическую модель системы (ММС).
Очевидно, что компонентные и топологические уравнения
в системах различной физической природы отражают разные
физические свойства, но могут иметь одинаковый формальный
вид. Одинаковая форма записи математических соотношений
позволяет говорить о формальных аналогиях компонентных и
топологических уравнений. Такие аналогии существуют для
механических поступательных, механических вращательных,
электрических, гидравлических (пневматических), тепловых
объектов. Наличие аналогий приводит к практически важному
выводу: значительная часть алгоритмов формирования и
исследования моделей в САПР оказывается инвариантной и
может быть применена к анализу проектируемых объектов в
разных предметных областях. Единство математического
аппарата формирования ММС особенно удобно при анализе
систем, состоящих из физически разнородных подсистем.
В перечисленных выше приложениях компонентные
уравнения имеют вид:
(1)
топологические уравнения:
(2)
где
— вектор фазовых переменных, — время.
Различают фазовые
переменные двух
типов,
их
обобщенные наименования — фазовые переменные типа
потенциала(например,
электрическое
напряжение)
и
фазовые переменные
типа
потока (например,
электрический ток). В стандарте VHDL AMS их называют
соответственно переменными across quantity, вторые —
through quantity. Каждое компонентное уравнение
характеризует
связи
между
разнотипными
фазовыми
переменными, относящимися к одному компоненту (например,
закон Ома описывает связь между напряжением и током в
резисторе), а топологическое уравнение — связи между
однотипными фазовыми переменными в разных компонентах.
Модели можно представлять в виде систем уравнений или в
графической форме, если между этими формами установлено
взаимно однозначное соответствие. В качестве графической
формы часто используют эквивалентные схемы.
Ниже рассмотрим примеры компонентных и топологических
уравнений для разных типов систем.
Электрические системы
В
электрических
системах
фазовыми
переменными
являются электрические напряжения и токи. Компонентами
систем могут быть простые двухполюсные элементы и более
сложные двух- и многополюсные компоненты. К простым
двухполюсникам
относятся
следующие
элементы:
сопротивление, емкость и индуктивность, характеризуемые
одноименными параметрами , , . В эквивалентных схемах
эти элементы обозначают в соответствии с рис. 1,а.
Компонентные уравнения простых двухполюсников:

для сопротивления (закон Ома):
(3)


для емкости:
(4)


для индуктивности:
(5)

где
— напряжение (точнее,
двухполюснике); — ток.
падение
напряжения
на
Эти модели лежат в основе моделей других возможных
более сложных компонентов. Большая сложность может
определяться нелинейностью уравнений (3) — (5) (т.е.
зависимостью , ,
от фазовых переменных), или учетом
зависимостей
параметров , ,
от
температуры,
или
наличием более двух полюсов. Однако многополюсные
компоненты
могут
быть
сведены
к
совокупности
взаимосвязанных простых элементов.
Топологические уравнения выражают законы Кирхгофа для
напряжений (ЗНК) и токов (ЗТК). Согласно ЗНК, сумма
напряжений на компонентах вдоль любого замкнутого контура
в эквивалентной схеме равна нулю, а в соответствии с ЗТК
сумма токов в любом замкнутом сечении эквивалентной схемы
равна нулю:
(6)
(7)
где:
— множество номеров элементов -го контура;
множество номеров элементов, входящих в -е сечение.
—
Рис. 1. Компоненты электрических и механических систем
Пример 1
Примером ММ сложного компонента может служить модель
транзистора. На рис. 2 представлена эквивалентная схема
биполярного транзистора, на которой зависимые от напряжений
источники
тока
и
отображают
статические вольтамперные характеристики p-n переходов,
и
— тепловые токи переходов,
— температурный потенциал,
и
— напряжения на эмиттерном и коллекторном переходах,
и
— емкости переходов,
и
— сопротивления утечки
переходов,
и
— объемные сопротивления тел базы и
коллектора,
—
источник
тока,
моделирующий
усилительные свойства транзистора,
и
— прямой и инверсный
коэффициенты усиления тока базы. Здесь
— фазовые
переменные, а остальные величины — параметры модели
транзистора.
Рис. 2. Эквивалентная схема биполярного транзистора
Механические системы
Фазовыми переменными в механических поступательных
системах являются силы и скорости. Используют одну из двух
возможных электромеханических аналогий. В дальнейшем
будем использовать ту из них, в которой скорость относят к
фазовым переменным типа потенциала, а силу считают
фазовой переменной типа потока. Учитывая формальный
характер подобных аналогий, в равной мере можно применять
и противоположную терминологию.
Компонентное уравнение, характеризующее инерционные
свойства тел, в силу второго закона Ньютона имеет вид:
(8)
где
— сила;
— масса;
— поступательная скорость.
Упругие
свойства
тел
описываются
компонентным
уравнением, которое можно получить из уравнения закона
Гука.
В
одномерном
случае
(если
рассматриваются
продольные деформации упругого стержня):
(9)
где
—
упругости;
изменение
механическое
напряжение;
—
модуль
—
относительная
деформация;
—
длины упругого тела под воздействием .
Учитывая,
что
,
где
—
сила,
—
площадь
поперечного сечения тела, и дифференцируя (9), имеем:
или
(10)
где
—
жесткость
(величину,
обратную
жесткости,
называют гибкостью
),
— скорость.
Диссипативные свойства в механических системах твердых
тел выражаются соотношениями, характеризующими связь
между силой трения и скоростью взаимного перемещения
трущихся тел, причем в этих соотношениях производные сил
или скоростей не фигурируют, как и в случае описания с
помощью закона Ома диссипативных свойств в электрических
системах.
Топологические уравнения характеризуют, во-первых,
закон равновесия сил: сумма сил, приложенных к телу,
включая силу инерции, равна нулю (принцип Даламбера), вовторых,
закон
скоростей,
согласно
которому
сумма
относительной, переносной и абсолютной скоростей равна
нулю.
В механических вращательных системах справедливы
компонентные и топологические уравнения поступательных
систем с заменой поступательных скоростей на угловые, сил
— на вращательные моменты, масс — на моменты инерции,
жесткостей — на вращательные жесткости.
Условные обозначения простых элементов механической
системы показаны на рис. 1,б.
Нетрудно заметить наличие аналогий между электрической
и механической системами. Так, токам и напряжениям в
первой из них соответствуют силы (либо моменты) и скорости
механической системы, компонентным уравнениям (4) и (5) и
фигурирующим в них параметрам
и
— уравнения (8) и
(10) и параметры
и
, очевидна аналогия и между
топологическими уравнениями. Далее параметры
и
будем называть емкостными (емкостного типа), параметры
и
— индуктивными (индуктивного типа), а параметры
и
— резистивными (резистивного типа).
Имеется и существенное отличие в моделировании
электрических и механических систем: первые из них
одномерны, а процессы во вторых часто приходится
рассматривать
в
двух(2D)
или
трехмерном
(3D)
пространстве.
Следовательно,
при
моделировании
механических систем в общем случае в пространстве 3D
нужно использовать векторное представление фазовых
переменных, каждая из которых имеет шесть составляющих,
соответствующих шести степеням свободы.
Однако
отмеченные
выше
аналогии
остаются
справедливыми, если их относить к проекциям сил и
скоростей на каждую пространственную ось, а при
графическом представлении моделей использовать шесть
эквивалентных схем — три для поступательных составляющих
и три для вращательных.
Гидравлические системы
Фазовыми переменными в гидравлических системах
являются расходы и давления. Как и в предыдущем случае,
компонентные уравнения описывают свойства жидкости
рассеивать или накапливать энергию.
Рассмотрим компонентные уравнения для жидкости на
линейном участке трубопровода длиной
и воспользуемся
уравнением Навье-Стокса в следующей его форме (для
ламинарного
течения
жидкости):
где — плотность жидкости;
— скорость;
— давление;
— коэффициент линеаризованного вязкого трения. Так
как
,
где
—
объемный
расход;
—
поперечного
сечения
трубопровода,
то,
пространственную
производную
отношением
разностей,
площадь
заменяя
конечных
имеем:
или
(11)
Здесь
— падение давления на рассматриваемом участке
трубопровода;
—
гидравлическая
индуктивность,
отражающая инерционные свойства жидкости;
—
гидравлическое сопротивление, отражающее вязкое трение.
Примечание 1
В
трубопроводе
круглого
сечения
радиусом удобно
использовать выражение для гидравлического сопротивления при
ламинарном течении:
, где — кинематическая вязкость;
в случае турбулентного характера течения жидкости компонентное
уравнение
для
вязкого
трения
имеет
вид
при
.
Интерпретация уравнения (11) приводит к эквивалентной
схеме, показанной на рис. 3.
Рис. 3. Эквивалентная схема трубопровода
Явление
сжимаемости
жидкости
описывается
компонентным уравнением, вытекающим из закона Гука:
(12)
Дифференцируя (12) и учитывая, что объемный расход
связан
получаем:
со
скоростью
соотношением
,
где
— гидравлическая емкость.
Связь подсистем различной физической природы
Используют
следующие
способы
моделирования
взаимосвязей подсистем: с помощью трансформаторной
связи,гираторной
связи и
с
помощью
зависимости
параметров компонентов одной подсистемы от фазовых
переменных
другой.
В
эквивалентных
схемах
трансформаторные
и
гираторные
связи
представлены
зависимыми источниками фазовых переменных, показанными
на
рис. 4.
На
этом
рисунке
—
коэффициент
трансформации;
— передаточная проводимость;
и
—
фазовые
переменные
в -й
цепи;
соответствует
первичной, а
— вторичной цепи.
Рис. 4. Трансформаторные и гираторные связи
Примечание 2
Следует отметить, что рассмотренные аналогии фазовых
переменных, топологических и компонентных уравнений разных
физических систем нашли свое отражение в международном
стандарте VHDL-AMS, в котором фазовые переменные типа
потенциала названы переменными across quantity, а переменные
типа потока — through quantity.
Основные понятия теории графов
Аппарат теории графов широко используется в различных
приложениях
и,
в
частности,
в математическом
обеспеченииСАПР. Основные области его применения —
математическое моделирование и
задачи структурного
синтеза.
Графом
называют
совокупность множества вершин
и
ребер
, если каждое ребро
(1)
инцидентно двум вершинам, другими словами, является
связью двух вершин. В частном случае в качестве этих двух
вершин может дважды выступать одна и та же вершина, тогда
ребро называется петлей. Инцидентность - отношение типа
"лежит на" или "проходит через". Если связываемые
вершины
и
в (1) упорядочены, то ребро становится
направленным и называется дугой. Граф с направленными
связями называют направленным графом (ориентированным
графом
или
орграфом),
в
противном
случае
—
ненаправленным
(неориентированным).
Граф
называют
смешанным, если в нем имеются как ребра, так и дуги. Ребра,
соединяющие одинаковые
вершины,
— кратные
или
параллельные. Граф без петель, но с кратными ребрами —
мультиграф. Максимальное число кратных ребер называется
мультичислом графа.
Две вершины (ребра) называют смежными, если они
инцидентны одному и тому же ребру (вершине). Граф, в
котором все вершины попарно смежны, — полный граф. Граф,
в котором перемещаясь по ребрам от вершины к вершине,
можно попасть в любую вершину, — связный граф. Граф без
ребер называют нуль-графом (пустым графом), а вершины, не
имеющие инцидентных ребер, называют изолированными.
Вершина, инцидентная только одному ребру, называется
висячей.
Число ребер (дуг), инцидентных некоторой вершине ,
есть степень вершины . Полустепень захода вершины
определяется числом входящих в вершину дуг, а полустепень
исхода — числом исходящих дуг. Граф называется
однородным (регулярным) степени t, если степени всех
вершин одинаковы и равны t.
Граф
является частичным
графом (суграфом)
графа
, если
. Т.е. в частичном графе
сохраняются все вершины, а некоторые ребра опущены. Если
опущены некоторые вершины и инцидентные им ребра,
получим подграф.
Граф
называется куском
графа
,
если
ив
входят все ребра из
, инцидентные
.
При удалении из графа некоторых вершин с инцидентными
им ребрами и возможно еще некоторых отдельных ребер
получаем частичный подграф.
Вершинам и (или) ребрам могут быть приписаны некоторые
количественные или качественные признаки, называемые
весами, тогда граф называют взвешенным.
Последовательность ребер графа, в которой любая пара
соседних ребер имеет одну и ту же инцидентную вершину,
называют маршрутом. В орграфах аналогом маршрута
является путь, т.е. такая последовательность дуг, в которой
конец одной дуги является началом другой дуги. Маршрут, все
ребра которого различны, является цепью, а если различны
все вершины, то маршрут — простая цепь. Замкнутая цепь
является циклом, замкнутая простая цепь — простым
циклом.
Цикл,
содержащий
все
ребра
графа,
называют эйлеровым циклом, а граф, имеющий эйлеров
цикл, — графом Эйлера. Простой цикл, который включает все
вершины графа, называют гамильтоновым циклом. Для
орграфов понятиям цепь и цикл соответствуют понятия путь и
контур. Простой путь - путь, в котором ни одна дуга не
встречается дважды. Элементарный путь - путь, в котором ни
одна вершина не встречается дважды. Контур - путь, у
которого конечная вершина совпадает с начальной вершиной.
Длиной пути (контура) называется число дуг пути (или сумма
длин его дуг, если послед ние заданы).
Рис. 1. Пример графа
Деревом графа называют связный неориентированный
граф без циклов. Если при этом граф несвязный, то его
название — лес. Любое дерево, построенное на п вершинах,
содержит —1 ребер, а лес, состоящий из
вершин и
деревьев, имеет — ребер. Если дерево содержит все
вершины графа, то это остов или остовное дерево
(покрывающее дерево).
Дерево может быть выделено из любого (ненулевого)
графа. Если дерево — покрывающее, то множество ребер
графа разбивается на подмножество ветвей и подмножество
хорд (дополнений ребер дерева). При этом связный граф,
имеющий п вершин и k ребер, содержит
—1 ветвей и - +1
хорд. Если граф несвязный, то число хорд, входящих в
дополнение леса, равно - + . Ориентированное дерево
называется прадеревом. Начальная вершина прадерева
называется корнем.
Граф можно задать в виде рисунка, на котором вершины
изображены точками или кружками, а ребра линиями
(например,
рис. 1),
с
помощью матрицы
инцидентности или матрицы смежности, показанных для
графа рис. 1 в табл. 1 и табл. 2 соответственно.
В
матрице
инцидентности
столбцы
соответствуют
вершинам, а строки — ребрам. Если вершина
инцидентна
ребру
, то
й элемент матрицы неориентированного графа
равен единице, иначе нулю. В орграфе элемент матрицы
инцидентности равен +1, если дуга входит в вершину, и -1,
если выходит из вершины. Для неориентированного графа
суммы элементов матрицы в каждой строке и в каждом
столбце равны степеням соответствующих вершин.
Таблица 1
1
1
0
0
0
0
1
0
1
0
0
0
1
0
0
0
0
1
0
1
0
1
0
0
0
0
1
0
1
0
0
0
1
0
0
1
0
1
1
0
0
0
0
0
0
1
1
0
0
0
0
0
1
1
0
0
0
1
0
1
В квадратной матрице смежности
й элемент равен числу
ребер, соединяющих вершины
и
.
Если
вершины
графа
распределены
на
два
подмножества
и
таким образом, что связи имеются
только между вершинами разных подмножеств, то такой граф
называют двудольным графом (графом Кёнига).
К графам применимы все операции, выполняемые над
множествами
(объединение,
пересечение,
разность,
произведение).
Таблица 2
0
1
1
0
0
1
1
0
1
1
0
0
1
1
0
0
1
1
0
1
0
0
1
1
0
0
1
1
0
1
1
0
1
1
1
0
Ребро, удаление которого приводит к замене графа на два
не связанных между собой подграфа, называют перешейком.
Вершина, в которой граф можно разделить на две компоненты
связности путем дублирования этой вершины в обеих
компонентах, называется расщепляющейся.
При изображении графа в виде геометрической фигуры
существует большая свобода в размещении вершин и ребер
(дуг) в пространстве. Два графа называются изоморфными,
если они имеют одинаковое число вершин и если каждой паре
вершин, соединенных ребром (дугой) в одном графе,
соответствует такая же пара вершин, соединенных ребром
(дугой) в другом графе. Граф
изоморфно вкладывается в
граф ,
если
изоморфен
какому-либо
суграфу
или
подграфу графа .
Ребрам (дугам) и вершинам графа часто приписываются
количественные и качественные признаки, характерные
свойства, называемые весами. Вес может означать длину
соединения,
пропускную
способность
канала
связи,
интенсивность
переходов
и
т.п..
Взвешенные
ориентированные графы называются сигнальными графами.
Download