T - Санкт-Петербургский политехнический университет Петра

advertisement
ФЕДЕРАЛЬНОЕ ГОСУДАРСТВЕННОЕ АВТОНОМНОЕ ОБРАЗОВАТЕЛЬНОЕ
УЧРЕЖДЕНИЕ ВЫСШЕГО ОБРАЗОВАНИЯ
«САНКТ-ПЕТЕРБУРГСКИЙ ПОЛИТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ ПЕТРА
ВЕЛИКОГО»
На правах рукописи
Ахметов Денис Булатович
Синтез и реализация синтезаторов частот для беспроводных систем
радиочастотной идентификации
Специальность 05.12.04 – Радиотехника, в том числе системы и устройства
телевидения
Диссертация на соискание
ученой степени кандидата технических наук
Научный руководитель:
Доктор технических наук,
профессор Коротков А.С.
Санкт-Петербург – 2015
2
Содержание
Введение ……………………………………………………………………
4
1
Текущее состояние проблемы, перспективы, задачи ……………………
10
1.1
Беспроводные системы связи ближнего действия ………………………
10
1.1.1 Беспроводные сенсорные сети ……………………………………………
10
1.1.2 Системы радиочастотной идентификации ……………………………….
20
1.2
Основные типы передатчиков …………………………………………….
23
1.2.1 Картезианские схемы передатчиков ……………………………………..
23
1.2.2 Полярные схемы передатчиков …………………………………………..
26
1.2.3 Схемы передатчиков на основе синтезатора частот …………………….
28
1.3
Основные типы синтезаторов частот и методы формирования
дробного коэффициента деления …………………………………………
34
1.3.1 Общие положения …………………………………………………………
34
1.3.2 Метод подавления импульсов …………………………………………….
39
1.3.3 Фазовая интерполяция и случайная модуляция по Уитли ……………...
46
1.3.4 Метод модуляции на основе дельта-сигма модуляторов ……………….
50
1.4
Характеристики передатчиков и синтезаторов частот ………………….
53
1.5
Цель и задачи работы ……………………………………………………..
55
2
Методика синтеза и моделирование синтезаторов частот ……………...
57
2.1
Общие положения …………………………………………………………
57
2.2
Построение модели синтезатора частот без учета шумов ………………
63
2.3
Особенности построения моделей блоков синтезатора с учетом
шумов ……………………………………………………………………….
70
2.4
Анализ паразитных спектральных составляющих ………………………
77
2.5
Методика расчета фильтра нижних частот ………………………………
82
2.6
Методика синтеза схем синтезатора частот ……………………………...
86
2.6.1 Обобщенная методика расчета ……………………………………………
86
2.6.2 Методика расчета цепи накачки ………………………………………….
90
2.6.3 Методика расчета триггеров блока делителя частоты …………………..
95
3
2.7
Выводы ……………………………………………………………………..
97
3
Разработка синтезатора частот …………………………………………… 100
3.1
Основные требования к характеристикам блоков устройства …………. 100
3.2
Анализ и разработка блоков синтезатора на схемном уровне …………. 103
3.2.1 Блок фазового детектора ………………………………………………...... 103
3.2.2 Блок цепи накачки ………………………………………………………… 106
3.2.3 Генератор, управляемый напряжением ………………………………...... 107
3.2.4 Блок делителя частоты ……………………………………………………. 110
3.2.5 Дельта-сигма модулятор ………………………………………………...... 114
3.3
Проведение моделирования ………………………………………………
117
3.3.1 Функциональное моделирование в среде Simulink ……………………..
117
3.3.2 Моделирование на схемном уровне ……………………………………... 124
3.4
Выводы …………………………………………………………………...... 129
4
Экспериментальное исследование разработанного синтезатора
частот ………………………………………………………………………. 131
4.1
Топология кристалла микросхемы ………………………………………. 131
4.2
Моделирование синтезатора на физическом уровне …………………… 139
4.3
Анализ влияния технологических допусков на характеристики
синтезатора ………………………………………………………………… 147
4.4
Проведение измерений ……………………………………………………
151
4.4.1 Разработка тестовой платы ……………………………………………….. 151
4.4.2 Методика измерений и описание измерительных установок ………...... 154
4.4.3 Измерение характеристик во временной области ………………………. 156
4.4.4 Измерение характеристик в частотной области ………………………… 157
4.5
Выводы ...…………………………………………………………………... 160
Заключение ………………………………………………………………… 162
Список литературы ………………………………………………………... 164
Приложение А ……………………………………………………………... 174
4
ВВЕДЕНИЕ
Актуальность темы диссертации
Уменьшение
топологических
норм
при
производстве
интегральных
микросхем на основе КМОП-технологии до 0,18 мкм и менее позволило
увеличить частоту единичного усиления транзисторов по току до единиц и
десятков гигагерц, уменьшить потребляемую мощность, повысить плотность
компоновки транзисторов на подложке кристалла и, как следствие, уменьшить
стоимость изделий. При этом достигается более высокая степень интеграции по
сравнению с технологиями на основе кремниевых биполярных транзисторов и
транзисторов на основе арсенида галлия (GaAs). В результате появилась
возможность строить системы, включающие несколько десятков и сотен
устройств, что позволило реализовать концепцию беспроводной сенсорной сети.
Область применения таких систем обширна и включает: контроль производства,
наблюдение за окружающей средой, отслеживание перемещений объектов,
системы контроля доступа, контроль состояния здоровья пациентов, состояния
промышленных и общественных зданий. Перечисленные направления в общем
случае не требуют больших скоростей передачи данных и радиусов действия.
Другой разновидностью беспроводных систем являются системы радиочастотной
идентификации (RFID – англ. «radio frequency identification»), которые могут
использоваться самостоятельно или интегрироваться в состав сенсорных сетей. В
общем случае, система RFID состоит из двух основных частей: устройства
считывания и радиочастотных меток. Устройство считывания включает антенну,
приемо-передатчик, блок управления и предназначено для считывания, а также, в
ряде случаев, записи информации на радиочастотные метки. Радиочастотная
метка состоит из антенны и блоков, обеспечивающих прием, передачу, хранение и
обработку исходных данных. Использование частот в области нескольких
гигагерц позволило расширить радиус считывания данных меток до нескольких
метров, понизить потребляемую мощность передатчика и исключить элементы
питания из меток за счет построения систем, основывающихся на принципе
радиолокации. Применение меток на поверхностных акустических волнах
5
увеличило диапазон рабочих температур до одной тысячи градусов Цельсия и
более. При этом сами метки могут использоваться как чувствительные элементы
для измерения температуры, давления, напряженности магнитного поля, силы
тока.
Для формирования несущего колебания в приемо-передатчиках как
сенсорных узлов, так и считывателей, используются синтезаторы частот,
построенные на основе петли фазовой автоподстройки частоты (ФАПЧ). При
этом петля ФАПЧ строится с использованием аналоговых и цифровых блоков, т.е.
является устройством смешанного типа. По отношению частоты опорного
сигнала к частоте несущего колебания различают синтезаторы с целочисленным
коэффициентом деления и с дробным. Ширина полосы пропускания по уровню
минус 3 дБ замкнутой петли ФАПЧ определяет характеристики устройства во
временной и частотной областях. Так, увеличение полосы пропускания позволяет
уменьшить уровень фазовых шумов и время перестройки по частоте. С другой
стороны, полоса частот ограничена допустимым уровнем просачивания сигнала
опорной частоты и других возможных помех на вход генератора, управляемого
напряжением. Вместе с требованием к устойчивости системы, ввиду наличия
обратной связи, ширина полосы обычно существенно меньше частоты опорного
генератора. В синтезаторах с целочисленным коэффициентом деления ширина
полосы ограничена шагом перестройки по частоте. В синтезаторах с дробным
коэффициентом деления, напротив, частота опорного сигнала может существенно
превышать шаг перестройки, что и определяет перспективность данного типа
устройств.
Известную проблему представляет анализ и моделирование смешанного
типа аналого-цифровых устройств, так как высокие рабочие частоты в аналоговых
блоках требуют малого шага интегрирования, а цифровые блоки – больших
времен моделирования. Стремление к упрощению структуры синтезаторов частот,
с целью уменьшения потребляемой мощности и размеров микросхем, повышает
требования к точности расчета, что существенно усложняет разработку устройств.
Ввиду относительно большого количества компонентов моделирование на
6
схемном уровне требует больших временных затрат. При этом проведения
моделирования с учетом фазовых шумов, как правило, невозможно в связи с
ограниченными
возможностями
аппаратных
ресурсов
и
применяемого
математического аппарата.
Таким образом, на данный момент актуальными являются задачи
разработки
методик
расчета
синтезаторов
частот
с
учетом
заданных
характеристик во временной и частотной областях; моделей, позволяющих учесть
нелинейные свойства блоков синтезатора и нелинейные свойства петли ФАПЧ;
методики расчета блоков, позволяющие уменьшить уровень нелинейных
искажений. Решение перечисленных задач позволит повысить качественные
характеристики синтезаторов частот, что дает возможность улучшить следующие
основные параметры систем радиочастотной идентификации: вероятность
верного определения кода (помехоустойчивость), время считывания.
Цель работы
Целью работы является синтез и реализация синтезатора частот для
беспроводных систем радиочастотной идентификации с учетом совокупных
требований на длительность времени перестройки, уровень паразитных гармоник
и фазовых шумов.
Для достижения поставленной цели в работе решаются следующие задачи:
1. Разработать методику моделирования для оценки спектральной плотности
средней мощности фазовых шумов на выходе синтезатора частот.
2. Разработать функциональную модель синтезаторов частот во временной и
частотной областях с учетом задержек, несимметричности, динамических,
статических и нелинейных характеристик основных блоков синтезатора частот.
3. Разработать методики расчета основных блоков синтезатора частот с учетом
совокупных
требований
на
длительность
времени
перестройки,
уровень
паразитных гармоник и фазовых шумов.
4. Разработать на схемном уровне и провести расчет всех устройств синтезатора
частот с использованием разработанных методик, провести моделирование
7
синтезатора частот как на основе разработанной функциональной модели, так и
на схемном уровне.
5. Разработать интегральную схему синтезатора частот, провести измерение
основных характеристик и сравнить результаты теоретических расчетов,
моделирования и эксперимента.
Научная новизна
1. Предложена методика учета совокупных требований на длительность времени
перестройки, уровень паразитных гармоник и фазовых шумов для синтезатора
частот.
2. Предложено учитывать различие фронтов и различие спадов импульсов для
оценки уровня паразитных гармоник в спектре выходного сигнала синтезатора.
3. Предложена методика расчета цепей накачки с учетом токов транзисторов,
работающих в режиме слабой инверсии.
4. Предложена методика расчета высокочастотных делителей частоты по
критерию «потребляемая мощность – максимальная рабочая частота».
Практическая значимость работы
1. Разработаны модели фазовых шумов опорного генератора и генератора,
управляемого напряжением, в которых используются только два блока
фильтрации для формирования шумовых компонент, обратно пропорциональных
нулевой, первой, второй и третьей степени частоты, что позволяет уменьшить
затраты машинных ресурсов при моделировании синтезатора частот.
2. Разработана
функциональная
модель
синтезатора
частот,
позволяющая
проводить анализ характеристик во временной и частотной областях, причем
результаты в частотной области отражают наличие в спектре выходного сигнала
синтезатора паразитных гармоник, кратных частоте сравнения, и гармоник,
вносимых дельта-сигма модулятором.
3. Разработана методика расчета цепей накачки, учитывающая токи транзисторов
в режиме слабой инверсии, что позволяет уменьшить уровень паразитных
гармоник, кратных частоте сравнения.
8
4. На основе разработанных методик и модели изготовлена интегральная схема
синтезатора частот по КМОП-технологии с технологической нормой 0,18 мкм с
диапазоном рабочих частот 2,40–2,48 ГГц, уровнем фазовых шумов не более
минус 114 дБн/Гц при отстройке на частоту 1 МГц и относительным уровнем
паразитных гармоник не более минус 74 дБн.
Результаты диссертации были использованы при выполнении составной
части опытно-конструкторской работы «Разработка базовых технологий создания
микросхемы
ВЧ
тракта
унифицированных
микропроцессорных
модулей–
считывателей для идентификации транспортных средств и контроля доступа на
объекты повышенной безопасности» по контракту с ОАО «Авангард» 2012–2014
гг.
Методология и методы исследования
При решении поставленных задач использовались методы анализа и синтеза
систем автоматического управления, линейных электрических цепей, цифровых
систем. Расчеты и моделирование проводились на ЭВМ с применением программ
Matlab, Simulink и Cadence Virtuoso (для всех указанных пакетов программ
университет имеет лицензионные соглашения).
Положения, выносимые на защиту
1. Для формирования во временной области компоненты фликкерного шума
генератора
опорного
сигнала
и
генератора,
управляемого
напряжением,
необходимо пропустить «белый» шум через цифровой фильтр, амплитудночастотная характеристика которого является аппроксимацией зависимости
обратно пропорциональной корню квадратному от частоты с контролируемым
количеством слагаемых в аппроксимирующем разложении, что позволяет
повысить точность расчета при малых отстройках от несущей.
2. На уровень паразитных гармоник, кратных частоте сравнения, в спектре
выходного сигнала синтезатора частот влияют разница длительностей фронтов и
разница длительностей спадов импульсов тока накачки и тока разряда.
3. Частоты паразитных гармоник в спектре выходного сигнала синтезатора частот
с использованием каскадного дельта-сигма модулятора до третьего порядка
9
включительно пропорциональны частоте опорного генератора и обратно
пропорциональны 2 N , где N – разрядность модулятора.
4. Для определения областей допустимых значений параметров основных блоков
синтезатора частот необходимо провести оценку уровня шумов, нелинейных
искажений и времени перестройки в символьном виде для последующего
моделирования на функциональном и схемном уровне.
Степень достоверности и апробация результатов
Достоверность результатов работы и обоснованность научных выводов
подтверждается соответствием представленных аналитических расчетов и
результатов
моделирования
схемотехническом
проведенных,
уровнях
согласно
синтезатора
с
частот
данными
общепринятым
на
функциональном
экспериментальных
процедурам,
на
и
измерений,
современном
оборудовании. Основные результаты работы докладывались и обсуждались на
следующих конференциях: международная межвузовская научно-практическая
конференция «XXXVII неделя науки СПбГПУ» 2009, «XIII всероссийская
научная конференции студентов-радиофизиков» 2009; XVI Всероссийская
научно-методическая конференция «Фундаментальные исследования и инновации
в национальных исследовательских университетах» 2012; IX международный
научно-практический
семинар
«Проблемы
современной
аналоговой
микросхемотехники» 2012; XIII научно-техническая конференция «Твердотельная
электроника. Сложные функциональные блоки РЭА» 2014.
Структура и объем диссертации. Диссертация состоит из введения, четырех
глав,
заключения,
списка
литературы
и
приложения.
Общий
объем
диссертационной работы вместе с приложением составляет 178 страниц, в том
числе 163 страницы основного текста, 99 рисунков, 11 таблиц, список литературы
из 94 наименований на 10 страницах и приложения на 5 страницах.
Вклад автора в разработку проблемы. Основные научные положения,
теоретические выводы, практические рекомендации, расчеты и моделирование в
диссертации разработаны и выполнены автором самостоятельно.
10
1 Текущее состояние проблемы, перспективы, задачи
1.1 Беспроводные системы связи ближнего действия
1.1.1 Беспроводные сенсорные сети
Развитие КМОП технологии привело к бурному росту производства
микроэлектронных устройств за счет увеличения диапазона рабочих частот и
уменьшения
технологических
габаритов изделий
и
норм,
себестоимости
конечной
продукции,
потребляемой
мощности. В
результате появилась
возможность строить системы, состоящие из нескольких десятков или сотен
устройств, что позволило реализовать концепцию беспроводной сенсорной сети.
Область применения таких систем включает: контроль производства, наблюдение
за окружающей средой, отслеживание перемещений объектов, системы контроля
доступа, контроль состояния здоровья пациентов, состояния промышленных и
общественных зданий. Перечисленные области применений в общем случае не
требуют больших скоростей передачи данных. В связи с этим был разработан
стандарт IEEE 802.15.4 определяющий протокол и взаимодействие между
устройствами посредством радиоканала в беспроводной персональной сети со
скоростью передачи данных до 250 Кбит/с [1.1]. В отличие от беспроводных
локальных
сетей
беспроводные
персональные
сети
требуют
наличия
минимальной инфраструктуры сети или допускают ее отсутствие и позволяют
реализовать беспроводные сенсорные сети [1.2]. Радиус действия между двумя
элементами сети обычно не превышает десяти метров. Поясним на основе
рисунка 1.1 топологию сети. Основным компонентом сети является устройство.
Устройство может иметь ограниченную функциональность или полную. Первый
тип устройств позволяет ретранслировать сообщения от других устройств сети и,
как следствие, может выполнять функции координатора всей сети, координатора
подсети или работать как простое устройство. Устройства с ограниченной
функциональностью не могут выполнять функции координатора сети и могут
обращаться только к полнофункциональным устройствам. В состав сети должно
входить как минимум одно полнофункциональное устройство, работающее как
координатор всей сети. Согласно рисунку координатор всей сети входит в состав
11
подсети 1. Координаторы 1 и 2 синхронизируют работу устройств, входящих в
состав подсети 2 и 3 соответственно. Устройства 11 и 4 выполняют функции
ретранслятора для обмена сообщениями между подсетями. Направления передачи
данных показаны стрелками. Координатор всей сети может направлять данные
сети на пункт централизованного наблюдения, либо в другие сети, например,
персональный компьютер, сотовый телефон и т.д.
Рисунок 1.1 – Общая структура сенсорной сети
Область пространства, в пределах которого расположены устройства, называется
сенсорным полем. Из-за наличия множества устройств и специфики применения,
топология сети может быть очень сложной, например, древообразная или
ячеистая. Задача обеспечения взаимодействия между устройствами и конечным
пользователем разбивается на несколько подзадач, образующих многоуровневую
структуру, называемую стеком протоколов (англ. protocol stack) и построенную на
основе семиуровневой модели взаимодействия открытых систем (OSI) [1.3].
Стандарт определяет только подуровень контроля доступа к среде и физический
уровень (см. рисунок 1.2). Физический уровень (ФУ) отвечает за управление
приемо-передатчиком, измерение уровня мощности принимаемых сигналов в
рабочей полосе частот, оценку качества связи, выбор частоты несущего
колебания, непосредственную передачу и прием пакетов данных и т.д.
Подуровень контроля доступа к среде (ПКДС) отвечает за обмен данными между
устройствами
на
основе
синхронизирующих
пакетов
данных,
контроль
12
передаваемых пакетов данных, формирование запросов на посылку пакета
данных и т.д. Обмен данными между устройствами сети основан на пакетной
передаче данных. Структура и содержимое каждого пакета данных определяется
его назначением, пакет данных называется кадром (англ. frame). Используется
четыре типа кадров: кадр синхронизации, кадр данных, кадр подтверждения и
кадр команды ПКДС. В качестве примера рассмотрим процесс передачи данных
от устройства сети координатору, проиллюстрированному на рисунке 1.2.
Предположим, что в сети используются кадры синхронизации, тогда устройство
сети ожидает передачу кадра синхронизации от координатора. При поступлении
кадра устройство выбирает подходящий временной слот для начала передачи
данных на основе процедуры CSMA-CA с синхронизацией к границам слотов. По
окончанию приема данных координатор может передать кадр подтверждения.
Если кадры синхронизации не используются в сети, устройство передает данные
координатору на основе процедуры CSMA-CA без синхронизации к границам
слотов. Более детально процедура CSMA-CA описана в [1.1]. Структура кадра
представлена на рисунке 1.3. Отметим, что в стандарте принят восьми разрядный
код представления данных, т.е. каждые восемь битов данных группируются и
называются октетами. Заголовок синхронизации состоит из пяти октетов (далее,
будет показано, что длительность заголовка синхронизации составляет 160 мкс) и
включает
преамбулу
и
последовательность
завершения
синхронизации.
Преамбула используется для синхронизации приемо-передатчика с потоком
данных. Последовательность завершения синхронизации определяет начало
пакета данных. Длина основных данных ФУ в октетах указывается в заголовке
ФУ. Отметим, что размер основных данных ФУ не должен превышать 127
октетов. Стандарт предусматривает несколько диапазонов рабочих частот.
Однако
только
диапазон
2400–2483,5 МГц
не
подлежит
обязательному
лицензированию. Диапазон разбит на шестнадцать поддиапазонов. Центральная
частота несущего колебания в каждом поддиапазоне определяется на основе
следующего выражения:
f c  2405  (k  11)5 МГц,
(1.1)
13
k  11,12,...,26 . Нумерация сохранена в соответствии с [1.1]. Скорость передачи
данных и длительность каждого бита составляют
Rd  250 Кб/с,
Td  1 / 250 Кб/с  4 мкс
соответственно. Четырем младшим битам ( b0  b3 ) октета сопоставляется один
символ, четырем старшим битам ( b4  b7 ) октета – другой символ.
Рисунок 1.2 – Процесс передачи данных от устройства сети координатору
Скорость передачи и длительность каждого символа составляют
Rs  250 / 4 Кб/с  62.5 Ксим/с,
Ts  1 / Rs  16 мкс
соответственно. Каждому символу сопоставляется 32-разрядная псевдо случайная
последовательность – c0 , c1 , ..., с31 .
Рисунок 1.3– Структура кадра на физическом уровне
Каждый разряд последовательности называется чипом. Скорость передачи и
длительность каждого чипа составляют
Rch  62.5  32 Ксим/с  2 Мчипов/с,
Tch  1 / Rch  0.5 мкс
соответственно. В таблице 1.1 приведены значения чипов для шестнадцати
возможных значений символов. Таким образом, все данные кадра (см. рисунок
14
1.3) разбиваются на символы, причем в зависимости от значения символа
выбирается определенная последовательность чипов в соответствии с таблицей
1.1. Далее, последовательность чипов поступает в блок модулятора и передается
посредством радиоканала. Для передачи данных используется O-QPSK модуляция
с огибающей в виде половины синусоиды. Для формирования модулированного
колебания последовательность чипов разбивается на две последовательности:
синфазную (I–phase) и квадратурную (Q–phase). Синфазной последовательности
соответствуют чипы с четными индексами ( c0 , c2 , с4 и т.д.), квадратурной – чипы
с нечетными индексами ( c1 , c3 , с5 и т.д.).
Таблица 1.1 – Соответствие между значениями пяти первых символов и
последовательности чипов
Символ
Символ
(основание 10)
(основание 2)
Значения чипов
( b0 , b1 , b2 , b3 )
( c0 , c1 , c2 , c3 , c4 , c5 , …, c30 , c31 )
0
0000
11011001110000110101001000101110
1
1000
11101101100111000011010100100010
2
0100
00101110110110011100001101010010
3
1100
00100010111011011001110000110101
4
0010
01010010001011101101100111000011
Длительность чипов после разбиения удваивается (см. рисунок 1.4). Сдвиг между
последовательностями формируется путем задержки на Tch
квадратурной
составляющей. Например, для символа "0" по основанию 10 (см. таблицу 1.1) на
рисунке 1.5 представлена форма огибающей для синфазной и квадратурной
последовательности чипов до переноса на несущую частоту.
Рисунок 1.4 – Разбиение последовательности чипов на синфазную и
квадратурную последовательности
Особенностью
передачи
данных
в
стандарте
IEEE
802.15.4
является
использование O-QPSK модуляции с огибающей в виде половины синусоиды, что
15
эквивалентно
MSK
модуляции.
Покажем,
что
колебание
MSK
можно
сформировать как колебания O-QPSK.
Рисунок 1.5 – Форма огибающей для синфазной и квадратурной
последовательностей чипов, соответствующих символу "0" по основанию 10
Для этого необходимо представить форму огибающей чипов синфазной и
квадратурной последовательностей в виде части синусоиды. Длина каждой части
равна половине периода синусоиды, причем период синусоиды равен удвоенной
длительности чипа синфазной или квадратурной последовательностей
и
составляет 4Tch . Согласно [1.4], колебание MSK можно представить следующим
образом:
y MSK (t )  u 2 k 1C t  2kT  cosc t   u 2 k 2 S t  2k  2 T  sin c t  ,
2k  1T  t  2kT ,
(1.2)
y MSK (t )  u 2 k 1C t  2kT  cosc t   u 2 k S t  2kT  sin c t  ,
2kT  t  2k  1T ,
где T – величина обратно пропорциональная скорости передачи данных, причем
для рассматриваемого случая T  Tch , u k  1 – значения последовательности
данных, C t   cost / 2T  , S t   sin t / 2T  – функции, определяющие вид
огибающей (сглаживающие функции). Предполагается, что нумерация чипов
проводится, начиная с индекса k  1 , т.е. u1  c0 , u 2  c1 и т.д. Таким образом,
колебание MSK, представленное выражением (1.2), можно рассматривать как
колебание O-QPSK, но с формой огибающей в виде половины синусоиды. На
рисунке 1.6а представлена та же последовательность чипов, что и в предыдущем
случае. На рисунках 1.6б, 1.6в представлены формы огибающих для синфазной и
квадратурной последовательностей соответственно. На рисунке 1.6г представлена
16
зависимость yMSK (t ) . Отметим, что фаза колебания O-QPSK с огибающей в виде
половины синусоиды – непрерывна. Применение сигналов с непрерывной фазой
позволяет ослабить требования к нелинейным характеристикам усилителей
мощности, и использовать нелинейные усилители класса AB, B, C и E.
Рассмотрим основные требования к приемо-передатчикам диапазона 2,450 МГц.
Рисунок 1.6 – MSK модулированное колебание а) Последовательность чипов;
б) u 2 k 1C t  2kT  ; в) u 2 k S t  2kT  ; г) y MSK (t )
Согласно [1.1] уровень спектральных составляющих должен быть меньше
значений, представленных в таблице 1.2. Измерения спектральной плотности
должны проводиться при полосе пропускания измерительного прибора 100 кГц.
При измерении относительного значения, опорная величина берется как
максимальное среднее значение спектральной плотности, измеренной в пределах
±1 МГц от частоты несущей f c .
Таблица 1.2 – Предельные значения спектральной плотности мощности
Частота
Относительное значение
Абсолютное значение
f  f c  3,5 МГц
-20 дБ
-30 дБм
Скорость передачи символов должна составлять 62,5 Ксим/с с точностью до
±40 ppm. Определения терминов чувствительность приемника и коэффициент
ошибки представлены в таблице 1.3. При заданных условиях чувствительность
17
приемника
должна
составлять
минус
85 дБм
или
лучше.
Определим
помехоустойчивость приемника. Для этого введем понятия соседнего и побочного
каналов приема. Соседний канал приема определяется как один из двух
поддиапазонов частот, примыкающих к текущему поддиапазону. Побочный канал
приема – один из двух поддиапазонов частот, отстоящих от текущего
поддиапазона частот на величину частотного поддиапазона.
Таблица 1.3 – Определения терминов
Термин
Определение
Условия
Коэффициент
Средняя часть неправильно принятых
ошибки
пакетов данных
– Основные данные ФУ
– случайные данные
– Размер основных
данных ФУ 20 октетов
– Коэффициент
Минимальное значение мощности
Чувствительность
ошибки ≤1%
принятого сигнала, при котором
приемника
коэффициент ошибки меньше заданного
– Мощность измеряется
на зажимах антенны
– Интерференция
отсутствует
Например, пусть номер текущего поддиапазона равен 14. Тогда, соседние каналы
приема – это поддиапазоны с номерами 13 и 15. Дополнительные каналы приема
– поддиапазоны с номерами 12 и 16. В таблице 1.4 приведены требования к
избирательности приемника по соседнему и дополнительному каналам приема.
Таблица 1.4 – Избирательность приемника
Избирательность по соседнему каналу
Избирательность по побочному каналу
приема
приема
0 дБ
30 дБ
Основные данные ФУ представляют собой случайные наборы значений.
Устройство должно переключаться между режимами приема и передачи за время
равное или меньше длительности 12 символов или 192 мкс. Амплитуда вектора
ошибки передаваемого сигнала не должна превышать 35%. Допуск по частоте
несущего
колебания
составляет
±40 ppm.
Выходная
мощность
сигнала
передатчика должна быть не менее минус 3 дБм. Максимально допустимая
18
мощность сигнала на входе приемника, при коэффициенте ошибки менее 1%,
больше или равна минус 20 дБм. Приемник должен обеспечивать измерение
уровня мощности сигнала в полосе частот поддиапазона. Время измерения
мощности сигнала равно длительности 8 символов. Результат измерения
представляется целым числом в интервале от 0 до 256. Значение равное нулю
соответствует уровню мощности менее минус 75 дБм. Диапазон возможных
значений мощности сигнала не менее 40 дБ. Зависимость между значением
мощности сигнала в децибелах и соответствующим ему числом в диапазоне от 0
до 256 должна быть линейной с точностью ±6 дБ. При приеме пакетов данных
производится измерение уровня мощности сигнала и/или отношения сигнал-шум.
Уровень качества связи определяется числом, лежащим в диапазоне 0 – 256. Двум
крайним значениям числа соответствуют минимально и максимально допустимые
мощности сигналов при условии, что коэффициент ошибки менее 1%.
Физический уровень должен осуществлять процедуру определения свободного
поддиапазона по крайней мере одним из трех возможных способов:
Режим 1. Уровень мощности выше порогового. Сигнал наличия помехи в
поддиапазоне
генерируется,
если
уровень
измеренной
мощности
выше
порогового значения.
Режим 2. Определение наличия несущего колебания. Сигнал наличия
помехи генерируется, если обнаружен сигнал с параметрами принятыми в
стандарте. Уровень мощности принятого сигнала не учитывается.
Режим 3. Определение наличия несущего колебания и уровня мощности
сигнала. Сигнал наличия помехи вырабатывается при условии наличия несущего
колебания и/или превышения порогового уровня мощности.
Пороговое значение должно быть менее минус 75 дБм. Длительность процедуры
должна составлять 8 символов. Далее, рассмотрим сенсорный узел сети, блоксхема которого представлена на рисунке 1.7. В состав узла входят: датчик,
ограничивающий
фильтр
нижних
частот
(ФНЧ),аналого-цифровой
преобразователь (АЦП), буфер, блок управления, генератор тактовых импульсов
(ГТИ) и блок приемо-передатчика. Аналоговый сигнал с выхода датчика
19
фильтруется и преобразуется АЦП в цифровой код. Поскольку в общем случае
скорость передачи данных по сети и быстродействие АЦП при дискретизации
сигнала датчика различны, сигнал с выхода АЦП поступает в буфер данных и
далее в блок управления. В блоке управления сигнал датчика обрабатывается для
уменьшения сетевого трафика, что позволяет продлить срок службы, как всей
сети, так и отдельных устройств, и подается в блок приемо-передатчика. Под
сроком службы понимается временной интервал с момента запуска сети и
выходом из строя определенного количества устройств, в том числе из-за разряда
элементов питания. Обычно, один и тот же ГТИ используется для работы как
цифровой, так и аналоговой частей сенсорного узла. Требуемая частота
формируется делением частоты тактового сигнала.
Рисунок 1.7 – Блок-схема сенсорного узла сети
В соответствии с сигналом ГТИ производится дискретизация аналогового сигнала
в АЦП, запись или считывание данных буфера. Блок управления обычно
представляет микроконтроллер, обеспечивающий включение/выключение АЦП;
формирование сигнала разрешения чтения или записи данных в буфер;
включение/выключение блока приемо-передатчика, формирование разрешающих
сигналов приема и передачи данных, формирование кадров данных. Блок приемопередатчика осуществляет модуляцию и детектирование, выбор рабочего
диапазона частот, измерение уровня мощности сигнала в текущем диапазоне
частот и т.д. Использование приемника обеспечивает: ретрансляцию пакетов
данных и прием кадров подтверждения приема; контроль уровня мощности
20
передаваемого сигнала; самоорганизацию и самовосстановление сети. При этом
принятый сигнал поступает в блок управления. Под самоорганизацией
подразумевается способность сети назначать адреса устройствам и создавать
таблицы маршрутизации сообщений без вмешательства со стороны оператора
сети. Под самовосстановлением подразумевается способность сети изменять
данные таблицы маршрутизации при выходе из строя или низком уровне заряда
сменных элементов питания устройств сети. Структура приемо-передатчика
определяется типом модуляции, кодирования данных и частотой несущего
колебания.
1.1.2 Системы радиочастотной идентификации
Другой
разновидностью
беспроводных
систем
являются
системы
радиочастотной идентификации (RFID – англ. «radio frequency identification»),
которые могут использоваться самостоятельно или интегрироваться в состав
сенсорных сетей [1.5-1.7]. В общем случае, система RFID состоит из двух
основных частей: устройства считывания и радиочастотных меток. Устройство
считывания
включает
антенну,
приемо-передатчик,
блок
управления
и
предназначено для считывания, а также, в ряде случаев, записи информации на
радиочастотные метки. Радиочастотная метка состоит из антенны и блоков,
обеспечивающих прием, передачу, хранение и обработку исходных данных. В
зависимости от типа источника питания, метки разделяют на пассивные,
полуактивные и активные. Пассивные метки не содержат элементов питания.
Передача и обработка данных осуществляется за счет энергии электромагнитного
поля считывателя или физических принципов работы устройства. В полуактивных
метках для передачи или обработки данных используется внутренний источник
питания. В активных метках – источник питания используется для передачи и
обработки
данных.
С
практической
точки
зрения
наибольший
интерес
представляют пассивные метки, не требующие постоянного обслуживания.
Радиус считывания определяется рабочей частотой. Так радиочастотные метки,
работающие в диапазоне 13,56 МГц, обеспечивают дальность от нескольких
21
сантиметров до одного метра. Область применения включает системы контроля
доступа, логистика, контроль производства, локализация внутри помещений. В
работе [1.5] описан пример интеграции RFID системы ближнего радиуса действия
в состав беспроводного узла сенсорной сети и представлена процедура расчета
антенны считывателя исходя из требуемого радиуса считывания и излучаемой
мощности.
По
принципу
построения
выделяются
два
класса
меток:
полупроводниковые и на основе элементов на поверхностных акустических
волнах (ПАВ). Рабочий диапазон температур первых ограничен сверху и не
превышает сто градусов по Цельсию. ПАВ метки могут работать до одной тысячи
градусов по Цельсию. Радиус считывание доходит до двадцати метров, что
достигается за счет использования более высоких частот, от сотен мегагерц до
единиц гигагерц, и передачи данных на основе принципов радиолокации. То есть
в качестве сигнала метки используется отраженный сигнал передатчика.
Особенность материала метки позволяет использовать их в качестве датчиков
температуры, деформации. Дополнительные меры позволяют измерять давление и
силу тока [1.8–1.12]. Рассмотрим типичную структуру метки, изображенную на
рисунке 1.8.
Рисунок 1.8 – Типичная структура ПАВ метки
В состав метки входят: приемо-передающая антенна, встречно-штыревой
преобразователь (ВШП), рефлекторы и пластина пьезоэлектрика. ВШП и
рефлекторы выполняются в виде полосок металлизации, наносимых обычно
методом литографии. Рассмотрим принцип работы системы. Предположим, что
считыватель излучил сигнал в виде прямоугольного импульса. Данный сигнал,
поступив на вход антенны метки, преобразуется в поверхностную акустическую
волну посредством встречно штыревого преобразователя. ВШП преобразует
22
импульс в поверхностную акустическую волну. При этом ВШП рассчитывается
таким образом, чтобы возбуждаемая акустическая волна распространялась только
в направлении рефлекторов для уменьшения потерь в подложке кристалла. Часть
поверхностной волны отражается от каждого рефлектора, возвращается на вход
ВШП и далее переизлучается. Задача приемника выделить данные отраженные
сигналы на фоне помех. Для разделения по времени сигналов, отраженных от
рефлекторов и внешних объектов, между ВШП и рефлекторами выдерживается
определенное расстояние. То есть формируется линия задержки. Величина
задержки составляет обычно несколько микросекунд, что гарантирует затухание
отраженных волн от объектов в радиусе сотен метров. Кодирование информации
осуществляется следующим образом. Область кристалла, располагающуюся за
линией задержки, разделяют на отрезки или ячейки. Ширина каждой ячейки
ограничена способностью считывателя различить два последовательных отклика.
Разрешающая способность определяется полосой рабочих частот, частотой
дискретизации принимаемого сигнала и необходимым отношением сигнал шум.
Несколько ячеек объединяют в группу, например, из пяти ячеек. Отражатель
может находиться одновременно только в одной из четырех ячеек. Пятая ячейка
остается всегда пустой. Так как отражатель может принимать только четыре
положения, т.е. всего четыре возможных состояния, то каждая группа
соответствует двум битам данных. На практике количество ячеек в группе может
больше. Из теории ближней радиолокации известно, что в качестве зондирующих
сигналов могут использоваться импульсные или непрерывные сигналы. Системы
на основе импульсных сигналов требуют использования быстродействующих
СВЧ
переключателей
и
аналого-цифровых
преобразователей.
Например,
импульсы с длительностью 25 нс требуют частоту дискретизации не менее
12,5 нс, что усложняет систему, делает ее дорогостоящей. Использование
непрерывных сигналов, напротив, предполагает использование АЦП общего
применения и не требует быстродействующих СВЧ ключей. Однако в этом случае
возникает
проблема
обеспечения
достаточной
развязки
между
трактами
приемника и передатчика. В радиолокации в ряде случаев используются двух
23
позиционные системы, т.е. пространственное разнесение приемной и передающей
антенн.
В
коммерческих
нецелесообразно.
Поэтому
решениях
прибегают
использование
к
такого
использованию
подхода
направленных
ответвителей или циркуляторов. Зондирующие сигналы представляют собой
сигналы с угловой модуляцией. Наибольшее распространение получили системы
на основе петли ФАПЧ с дробным коэффициентом деления [1.13–1.15].
Пошаговая
перестройка
частоты
ограничивает
системы
применение
к
неподвижным или медленно передвигающимся объектам. Однако за счет
усреднения нескольких отсчетов на каждом шаге, достигается повышение
отношения сигнал/шум на выходе приемника.
1.2.Основные типы передатчиков
1.2.1 Картезианские схемы передатчиков
Провести классификацию передатчиков можно по различным критериям,
например, по типу модулированного сигнала (с постоянной или переменной
огибающей),
по
структуре
передатчика,
по
способу
представления
модулирующего сигнала. Основываясь на последнем принципе классификации,
выделим передатчики на основе картезианской схемы и полярные передатчики. В
первом случае, модулированное колебание представляет собой сумму двух
ортогональных функций, во втором случае – синусоиду с постоянной частотой, но
зависящими от времени фазой и амплитудой. Таким образом, в одном случае,
модулирующий сигнал разбивается на две различные составляющие, синфазную и
квадратурную. При этом составляющие сигнала проходя через смесители,
переносятся вверх по частоте, а потом складываются. В другом случае,
модулирующий сигнал управляет как амплитудой, так и фазой несущего
колебания. Управление фазой колебания происходит за счет модуляции
управляющего сигнала ГУН, а амплитуды – за счет модуляции питающего тока
или напряжения усилителя мощности. Отдельно будут рассмотрены передатчики
на основе синтезатора частот, являющиеся частным случаем полярных
передатчиков,
когда
огибающая
модулированного
колебания
постоянна.
24
Рассмотрим основные типы передатчиков, формирующих модулированные
колебания в виде суммы двух ортогональных функций. Математически выходной
сигнал передатчика представим в следующем виде:
yt   I t  cos2f ct   Qt sin 2f ct  ,
где I t , Qt  – синфазная и квадратурная составляющие модулирующего сигнала,
f c – частота несущего колебания, t – время. Начнем рассмотрение с типичной
структуры супергетеродинного передатчика, изображенной на рисунке 1.9.
Цифровой код передаваемого сигнала разбивается на составляющие I и Q .
Каждая из составляющих цифрового кода преобразуется в аналоговые сигналы
I t 
и
Qt 
в
блоках
цифро-аналоговых
преобразователей
(ЦАП).
Высокочастотные составляющие сигналов подавляются ФНЧ, порядок которых
определяется требованиями к спектральному составу излучаемого сигнала.
Каждый из сигналов I t  и Qt  переносится на промежуточную частоту IF .
Сигналы на выходе смесителей (СМ) находятся в квадратуре друг относительно
друга. Суммарный сигнал пропускается через полосовой фильтр (ПФ) и
переносится на несущую частоту c . Далее, сигнал пропускается через ПФ и
усилитель мощности (УМ) и излучается. Одна из основных необходимостей
использования полосовых фильтров – подавление паразитного сигнала на
зеркальной частоте, возникающего на выходе смесителя.
Рисунок 1.9 – Структура супергетеродинного передатчика
Таким образом, к недостаткам супергетеродинного передатчика следует отнести:
требует сравнительно большой площади кристалла, наличие внешних дискретных
компонентов, необходимость использования ПФ с узкой полосой пропускания. В
то же время, данный тип передатчиков обеспечивает хорошие частотные
25
характеристики,
хорошее
согласование
между
компонентами
сигнала
в
квадратурной и синфазной плечах схемы; не склонен к затягиванию частоты
генератора, управляемого напряжением. Последнее определяется разностью
частот c модулированного колебания, и частот IF , LO ГУН и синтезатора
частот соответственно.
Результатом развития схем супергетеродинных передатчиков являются
схемы с низкой промежуточной частотой. Так как промежуточная частота может
быть выше верхней частоты модулирующего сигнала всего в несколько раз,
формирование модулированного колебания на промежуточной частоте может
проводиться
в
модулированного
цифровой
части
колебания
на
передатчика.
низкой
Однако
промежуточной
формирование
частоте
требует
повышения тактовых частот ЦАП, что, в свою очередь, ведет к повышению
потребляемой мощности цифровой части. Также, побочный сигнал на зеркальной
частоте, возникающий после преобразования вверх по частоте, располагается
близко к рабочему поддиапазону частот. Последующие стадии преобразования по
частоте и усиления вносят множество нежелательных гармоник в спектр
излучаемого сигнала, поэтому данная структура передатчика требует полного
подавления побочного сигнала на зеркальной частоте. Небольшая разница между
частотами сигналов на выходе УМ и синтезатора частот позволяет упростить
меры, предпринимаемые для устранения эффекта затягивания частоты ГУН.
Решением проблемы побочного сигнала на зеркальной частоте и
упрощением структуры передатчика является однократное преобразования
информационного
сигнала
на
несущую
частоту
(см.
рисунок
1.10).
Действительно, представленная схема не включает этап промежуточного
преобразования сигнала по частоте, а, следовательно, и дополнительного
генератора, управляемого напряжением. Ввиду равенства частот местного
гетеродина и модулированного колебания, в выходном спектре сигнала
отсутствует паразитный сигнал на зеркальном канале. Однако, данная структура
имеет ряд серьезных недостатков. Во-первых, на выход УМ просачивается
немодулированный сигнал местного гетеродина. Причин, вызывающих данный
26
эффект две: слабая развязка между блоком местного гетеродина и выходными
каскадами передатчика. Просачивание сигнала происходит по подложке
кристалла, и по соединительным контактам, как внешним, так и внутренним.
Рисунок 1.10 – Структура передатчика с однократным переносом на
несущую частоту
Также к просачиванию сигнала приводит рассогласование между уровнями
постоянного напряжения в трактах формирования немодулированного сигнала.
Во-вторых, слабая развязка между выходами УМ и сумматора приводит к
затягиванию частоты местного гетеродина. Особенно сильно эффект проявляется,
если частота помехи близка к собственной частоте местного гетеродина. Втретьих, разница коэффициентов усиления смесителей и коэффициентов
масштабирования ЦАП приводит к искажению передаваемого сигнала. Однако,
несмотря на указанные недостатки, такая структура передатчика обеспечивает
высокую степень интеграции.
1.2.2 Полярные схемы передатчиков
Выше
рассмотренные
схемы
передатчиков
позволяют
формировать
модулированные колебания, как с постоянной огибающей, так и с переменной.
Однако в таких схемах для усиления модулированных колебаний с переменной
огибающей необходимо использовать высоко линейные усилители мощности. Как
правило, такие УМ обладают сравнительно небольшим коэффициентом полезного
действия (КПД). Увеличение КПД за счет перевода УМ в «ключевой» режим
приводит к росту нелинейных искажений в выходном сигнале. Одно из
возможных решений изложено в работе [1.16]. Известно, что узкополосный
27
сигнал можно представить в следующей форме:
yt   At  cosc t   t  ,
(1.3)
где At  – огибающая сигнала, c – несущая частота,  t  – фаза сигнала. Если
сигнал вида (1.3) пропустить через ограничитель, то на выходе получим
фазомодулированное (ФМ) колебание B cosc t   t  с постоянной амплитудой.
Огибающую At  сигнала yt  можно выделить с помощью амплитудного
детектора. Далее, отдельно пропуская колебания через усилители мощности и
модулируя компоненты
B cosc t   t 
сигналом
At  , получим сигнал,
являющийся копией (1.3), но с большей мощностью. Постоянство огибающей
B cosc t   t  позволяет использовать нелинейные усилители мощности.
Модуляция питающего напряжения УМ сигналом At  даст на выходе усиленное
колебание (1.3). Это объясняется тем, что огибающая сигнала на выходе УМ,
работающего в «ключевом режиме», линейно пропорциональна напряжению
питания. На практике при построении передатчиков также прибегают к
раздельному формированию модулированного колебания. Однако компоненты
колебания (1.3) формируются иначе, чем в описанном выше методе. Передатчики
такого типа получили название полярных передатчиков. Поясним принцип
работы указанных передатчиков, обобщенная схема которых приведена на
рисунке 1.11. В данном случае, в цифровой части передатчика формируются
сигналы x t  и x A t  , пропорциональные фазе и амплитуде колебания yt 
соответственно. Сигнал x t  подается на вход синтезатора частот. При этом на
выходе получаем колебание B cosc t   t  . Сигнал x A t  преобразуется в
аналоговый, пропускается через ФНЧ и поступает на вход управляемого
источника питания УМ. В общем, необходимо отметить, что компоненты сигнала
yt 
формируются в двух разных плечах схемы. В результате между
B cosc t   t  и At  возникает временной сдвиг, что приводит к искажению
колебания y t  . Так, задержка в плече схемы, где формируется амплитудная
составляющая, вызвана инерционностью ФНЧ, а задержка в плече схемы, где
28
формируется фазовая компонента – инерционностью синтезатора частот. Для
компенсации временного сдвига обычно вводят дополнительные устройства
задержки, либо обратную связь [1.17]. Помимо этого, к источнику питания УМ
предъявляются высокие требования к линейности, полосе пропускания и внесения
минимального
временного
сдвига
относительно
сигнала
B cosc t   t  .
Использование линейных регуляторов напряжения уменьшает КПД УМ.
Рисунок 1.11 – Структура полярного передатчика
Использование регуляторов с ключевыми элементами ведет к росту нелинейных
искажений в сигнале y t  , и вносит ограничения на максимальную верхнюю
частоту сигнала At  . Также модуляция питающего напряжения приводит к
нежелательной модуляции фазы колебания y t  , т.е. возникают паразитные
искажения колебания y t  вида АМ-ФМ. На практике зависимость огибающей
yt  от напряжение питания не является линейной, т.е. происходит искажение
сигнала y t  вида АМ-АМ.
1.2.3 Схемы передатчиков на основе синтезатора частот
Схемы на основе синтезатора частот во многом схожи с полярными
схемами. Различие заключается в том, что в данных схемах не производится
модуляция питающего напряжения УМ. Таким образом, данные схемы позволяют
формировать сигналы только с постоянной огибающей, т.е. амплитуда сигнала в
выражении (1.3) не является функцией времени. Как следствие, в выходном
каскаде можно использовать нелинейные усилители классов С, D и E. Рассмотрим
принцип работы указанного типа передатчика более подробно. Передатчик
включает петлю фазовой автоподстройки частоты с программируемым делителем
29
частоты в петле обратной связи, т.е. образует синтезатор частот. Далее, кратко
изложим принцип работы синтезатора, обобщенная схема которого приведена на
рисунке 1.12. Основными узлами схемы являются: генератор опорной частоты
(ГОЧ), фазовый детектор (ФД), (ФНЧ), генератор, управляемый напряжением
(ГУН), блок делителя частоты (БДЧ), формирующий целочисленный или дробный
коэффициент деления, блок управления делителем частоты. Выбор коэффициента
деления осуществляется за счет внешних цепей управления в зависимости от
требуемого шага сетки частот. Согласно рисунку выходной сигнал ГУН u c t  с
частотой f c поступает на вход блока делителя частоты. На выходе блока делителя
формируется сигнал u d t  с частотой f d , равной f c / nd , где nd – коэффициент
деления. Сигнал u d t  и сигнал ГОЧ u ref t  поступают на фазовый детектор,
выходной сигнал которого u PD t  определяется разностью фаз  e t  сигналов,
действующих на его входах. Обозначим полные фазы сигналов u d t  и u ref t  , как
 d t  и  ref t  соответственно, тогда разность фаз  e t  представляется
следующим выражением:
 e t    ref t    d t  .
(1.4)
Сигнал u PD t  поступает на вход ФНЧ, выходной сигнал которого u LPF t 
управляет частотой f c колебания ГУН.
Рисунок 1.12 – Обобщенная схема синтезатора частот
В режиме удержания, когда частоты f ref и f d равны, между сравниваемыми
сигналами устанавливается постоянная разность фаз, т.е.
30
 e t    e 0 .
(1.5)
Следовательно, выходной сигнал ФНЧ так же постоянен и равен:
u LPF t   u LPF 0 .
При этом частоты f c , f ref , f d связаны следующим выражением:
f c  nd f ref  nd f d .
(1.6)
Таким образом, в системе ФАПЧ в режиме удержания поддерживается остаточная
разность фаз  e 0 . Максимальное значение  e 0
ограниченно детекторной
характеристикой фазового детектора и обычно равно 2 . Согласно выражению
(1.6) для осуществления перестройки по частоте необходимо изменять значение
коэффициента деления nd . Как правило, блок делителя частоты реализуется на
основе цифровых устройств последовательного типа, например, счетчиков.
Фазовый детектор также представляет цифровое устройство и строится на основе
триггеров [1.18]. На рисунке 1.13 показаны типичные временные зависимости
сигналов u ref t  , u d t  , u PD t  и u LPF t  в режиме удержания.
Рисунок 1.13 – Временные зависимости сигналов u ref t  , u d t  , u PD t  и u LPF t 
Из рисунка видно, что частоты сигналов u ref t  , u d t  равны и разность фаз  e t 
постоянна. Сигнал u PD t  пропорционален временному сдвигу между передними
фронтами импульсов сравниваемых сигналов. Так как  e t  постоянна, а частоты
f ref и f d равны, то сигнал u PD t  периодичен с некоторым периодом T p . В
предположении, что частота среза ФНЧ меньше, чем 1 / T p , сигнал u LPF t  слабо
31
зависит от времени и близок к постоянной величине u LPF 0 . Приведенный пример
позволяет качественно объяснить принцип формирования модулированного
сигнал u LPF t  будет изменяться
колебания. При изменении значения nd
пропорционально, т.е. будет осуществляться модуляция u LPF t  , следовательно, и
частоты или фазы uc t  . В литературе передатчики на основе синтезатора частот
получили название передатчиков с непосредственной модуляцией сигнала ГУН
[1.19]. Преимуществом передатчиков на основе представленной схемы является
возможность исключить ряд таких блоков как смесители, ФНЧ, АЦП. Это следует
из сравнения структурных схем, изображенных на рисунках 1.9, 1.10 и 1.12.
Однако скорость передачи данных ограничена инерционностью петли ФАПЧ. По
своей природе петля ФАПЧ действует как фильтр нижних частот. Для
преодоления указанного ограничения используются схемы с предварительной
коррекцией и двухточечные схемы. В первом случае модулирующий сигнал,
предварительно пропущенный через цифровой фильтр (ЦФ), добавляется только к
сигналу выбора несущей частоты. При передаче данных на большой скорости
высокочастотные составляющие передаваемого сигнала, выходящие за полосу
пропускания передаточной характеристики замкнутой петли ФАПЧ, подавляются.
Как следствие, возникают искажения формируемого высокочастотного сигнала.
Если известна передаточная характеристика петли, то вносимые петлей
искажения
можно
компенсировать
за
счет
предварительной
коррекции
модулирующего сигнала, выполняемой ЦФ. Сложность данного подхода
заключается
в
вариации
параметров
петли
ФАПЧ,
непосредственно
определяющих передаточную функцию цифрового фильтра, под действием
внешних воздействий, вариации параметров технологического процесса. Таким
образом, в схему дополнительно вводятся цепи калибровки. Структурная схема
передатчика представлена на рисунке 1.14, возможные цепи калибровки на
рисунке
не
отражены.
В
двухточечных
схемах
модулирующий
сигнал
одновременно подается в два узла схемы: в цифровой форме на вход блока
управления делителем и в аналоговой форме на вход ГУН (см. рисунок 1.15).
Недостатком данной схемы является рассогласование между модулирующими
32
сигналами, определяемое тем, что сигналы принадлежат к разным классам и
формируются в разных плечах схемы.
Рисунок 1.14 – Передатчик с предварительной коррекцией модулирующего
сигнала
В обоих рассмотренных случаях в режиме передачи данных петля ФАПЧ остается
замкнутой. В ряде приложений, например, в системах связи DECT (англ. digital
enhanced
cordless
telecommunication),
на
время
передачи
данных
петля
размыкается. Однако частота ГУН начинает отклоняться от заданной под
действием, как внешних, так и внутренних процессов. Недостатки заключаются в
ограничении длительности сообщений и необходимость паузы между передачами
для стабилизации частоты несущего колебания.
Рисунок 1.15 – Передатчик на основе двухточечной схемы
В качестве примера рассмотрим формирование O-QPSK модулированного
колебания с огибающей в виде половины синусоиды. В п. 1.1.1 было показано,
что
такое
колебание
эквивалентно
MSK
сигналу.
Согласно
[1.1]
последовательность чипов разбивается на две: квадратурную и синфазную.
Отсюда следует необходимость преобразовать данные последовательности в одну
33
такую, чтобы сигнал на выходе синтезатора в точности соответствовал сигналу,
сформированному с помощью картезианской схемы. Из анализа выражения (1.2)
следует, что колебание меняет свою частоту согласно комбинации значений
квадратурной и синфазной последовательностей, а именно в течение четных
чипов как
g e  I xor Q
а в течение нечетных чипов как
g o  not I xor Q  ,
где g e , g o – значения кодированной последовательности на интервале Tch ; I , Q –
значения синфазной и квадратурной последовательностей чипов на интервале Tch .
Если значение g e или g o равно единице, то частота модулированного колебания
равна
fc 
1
.
4Tch
(1.7а)
При g e или g o равного нулю, частота модулированного колебания равна
fc 
1
.
4Tch
(1.7б)
Если используется структура передатчика с непосредственной модуляцией
сигнала синтезатора частот, в сетку частот необходимо включить новые частоты в
соответствии с выражениями 1.7а, 1.7б. Вид сетки частот для передатчиков,
работающих в диапазоне 2450 МГц, представлен в таблице 1.5. Здесь учтено, что
в рассматриваемом случае длительность чипа Tch составляет 0,5 мкс.
Таблица 1.5 – Сетка частот для передатчика с непосредственной модуляцией
№
Поддиап.
f c , МГц
11
12
13
14
15
16
17
18
2405
2410
2415
2420
2425
2430
2435
2440
fc 
1
4Tch
2405,5
2410,5
2415,5
2420,5
2425,5
2430,5
2435,5
2440,5
fc 
1
4Tch
2404,5
2409,5
2414,5
2419,5
2424,5
2429,5
2434,5
2439,5
№
Поддиап.
f c , МГц
19
20
21
22
23
24
25
26
2445
2450
2455
2460
2465
2470
2475
2480
fc 
1
4Tch
2445,5
2450,5
2455,5
2460,5
2465,5
2470,5
2475,5
2480,5
fc 
1
4Tch
2444,5
2449,5
2454,5
2459,5
2464,5
2469,5
2474,5
2479,5
34
1.3 Основные типы синтезаторов частот и методы формирования дробного
коэффициента деления
1.3.1 Общие положения
На практике используются две системы автоподстройки частоты: частотная
и фазовая. В общем случае синтезаторы частот могут быть построены на основе
обоих систем. Однако в системах частотной автоподстройки сигнал ошибки
связан с разностью опорной и подстраиваемой частот, т.е. поддерживается
статическая ошибка между частотами сравниваемых сигналов. В системах
фазовой автоподстройки, напротив, поддерживается статическая разность фаз. В
связи с этим фактом и относительно простой структурой широкое применение на
практике получили системы ФАПЧ и синтезаторы частот на их основе.
По принципу работы блока делителя частоты различают синтезаторы на
основе делителей с целочисленным и с дробным коэффициентом деления. В
синтезаторах на основе делителей с целочисленным коэффициентом деления
частота формируемого колебания f c всегда кратна f ref , так как nd принимает
только целые значения. В режиме удержания значение коэффициента деления nd
блока делителя не изменяется. Поскольку минимальное изменение nd равно
единице, то согласно (1.6) минимальное изменение частоты f c и шага сетки
частот равно f ref . Таким образом, значение опорной частоты f ref ограничено
задаваемым шагом сетки частот.
В синтезаторах частот с дробным коэффициентом деления частота
формируемого колебания f c не всегда кратна f ref . Если f c не кратна f ref , то
значение коэффициента деления nd блока делителя непрерывно меняется между
несколькими целочисленными величинами. При этом в режиме удержания
поведение системы ФАПЧ эквивалентно поведению системы при постоянном
значении коэффициента деления, но равного некоторому рациональному, в том
числе дробному, числу. В этом случае удобно ввести понятие эквивалентного
коэффициента деления nd _ fr блока делителя. Если в синтезаторе частот с дробным
35
коэффициентом деления частота f c кратна f ref , то коэффициент деления nd блока
делителя
не
изменяется
(аналогично
синтезатору
с
целочисленным
коэффициентом деления). Так как nd _ fr принимает рациональные значения, то
частота несущего колебания f c может изменяться, например, на сотую или
тысячную долю f ref . Следовательно, f ref не ограничена шагом сетки частот, что
дает возможность использовать генераторы опорного сигнала с более высокой
частотой. При этом достигается более высокое разрешение синтезатора по
частоте, т.к. в пределе минимальное приращение частоты определяется
стабильностью ГОЧ и шумами компонентов системы. Также повышается уровень
подавления фазовых шумов ГУН и уменьшается время перестройки по частоте,
поскольку более высокая частота опорного сигнала позволяет повысить частоту
среза фильтра нижних частот системы ФАПЧ. Перечисленные преимущества
определяют перспективность применения синтезаторов частот на основе
делителей с дробным коэффициентом деления. Как следствие, далее будут
рассматриваться вопросы построения синтезаторов именно данного типа.
Рассмотрим принцип формирования дробного коэффициента деления на
примере синтезатора частот, представленного на рисунке 1.12. Выше было
отмечено, что в режиме удержания значение сигнала u LPF t  близко к постоянной
величине u LPF 0 и слабо зависит от времени. При этом частоты сравниваемых
сигналов u d t  и u ref t  равны, между сигналами устанавливается постоянная
разность фаз  e 0 , а частоты f c , f ref , f d связаны выражением (1.6). Предположим,
что разность фаз  e t  не постоянна, а изменяется таким образом, что среднее
значение  e t  за время T p равно постоянной величине  e 0 , т.е.
 e t    e 0 .
(1.8)
Так как сигналы u PD t  и  e t  прямо пропорциональны, то и средние значения
u PD t  и  e t  также пропорциональны. Отсюда следует, что
u PD t   u LPF 0 .
36
Следовательно, при данном значении управляющего сигнала с выхода ФНЧ
частота f c сигнала u c t  не изменится. При этом предполагается, что частота
среза ФНЧ больше чем 1 / T p , что позволяет рассматривать ФНЧ как идеальный
интегратор. Согласно (1.8) мгновенные значения частот сравниваемых сигналов
могут быть не равны. Однако, если равенство (1.8) выполняется, то значение
частоты f d будет изменяться таким образом, что текущее значение f d будет, либо
больше, либо меньше величины f ref . Следовательно, значение коэффициента
деления nd должно переключаться как минимум между двумя величинами,
например, nd 1 и nd 2 , такими, что:
nd 1  nd _ fr  nd 2 .
Определим интервал усреднения T p и дробную часть коэффициента деления для
простейшего случая, когда коэффициент деления nd принимает только два
значения, т.е.
nd  nd 1 , nd 2 .
Предположим, что  e t  является функцией, близкой к периодической, с
периодом T p . Тогда, выбрав частоту среза ФНЧ больше чем 1 / T p , обеспечим
постоянство управляющего сигнала ГУН u PD t  . Пусть требуемое значение nd _ fr
равно дробному числу. Представим nd _ fr в следующем виде:
nd _ fr 
fc
 n  ,
f ref
(1.9)
где n – целая часть, а  – дробная часть числа. Очевидно, что чем больше
значение  e t  отклоняется от  e 0 , тем больше должен быть интервал усреднения
T p . Следовательно, значения коэффициента деления nd должны быть как можно
ближе к значению nd _ fr , а их количество должно быть минимально. Поэтому
согласно (1.9) nd 1 и nd 2 должны быть равны n и n  1 соответственно. Обозначим
через Td _ 1 период сигнала u d t  при коэффициенте деления nd 1 , а через Td _ 2 – при
37
коэффициенте деления nd 2 . Если функция  e t  периодическая, то выполняется
следующее условие:
 e t    e t  T p  .
(1.10)
Не ограничивая общности рассмотрения, для наглядности изложения положим
начальную разность фаз между сигналами u d t  и u ref t  равной нулю, т.е.
предположим,
что
передние
фронты
импульсов
сравниваемых
сигналов
совпадают. Тогда, выражение (1.10) примет следующий вид:
 e 0   e T p   0 .
(1.11)
Согласно (1.10) и (1.11) через временной интервал T p фронты импульсов снова
совпадут. Следовательно, на интервале T p укладывается целое количество
периодов Tref , а также целое количество периодов Td _ 1 и Td _ 2 . Если на интервале
T p расположено x периодов Td _ 1 и y периодов Td _ 2 , то на интервале T p будет
расположено
x  y 
периодов Tref , т.е. T p будет определяться следующим
выражением:
T p  xTd _ 1  yTd _ 2   x  y Tref
(1.12)
Покажем, что дробная часть  определяется соотношением количества
периодов Td _ 1 и Td _ 2 , расположенных на T p . Для этого разделим обе части
выражения (1.12) на  x  y  и перепишем относительно Tref :
Tref 
xTd _ 1  yTd _ 2
x  y 
(1.13)
Правая часть выражения (1.13) соответствует операции усреднения периода Td на
интервале T p . Таким образом, выполняется соотношение:
Td  Tref .
Преобразуем выражение (1.9) относительно f ref , и перейдем от f ref к Tref :
Tref 
n 
 Tc n    .
fc
В (1.13) выразим Td _ 1 и Td _ 2 через Tc :
(1.14)
38
Tref 
xTd _ 1  yTd _ 2
x  y 

xnTc  y n  1Tc 
y 
T .
  n 
x  y   c
x  y 

(1.15)
Из выражений (1.14) и (1.15) следует, что дробная часть коэффициента деления 
равна:

Согласно
выражению
y
.
x y
(1.12)
(1.16)
значение
nd
изменяется
периодически.
Следовательно, сигнал управления u div t  также периодический с периодом T p .
Количество уровней сигнала u div t  определяется количеством значений nd , и в
данном случае равно двум. Временные интервалы, в течение которых уровень
сигнала не изменяется, определяются согласно выражениям (1.12) и (1.16).
Проиллюстрируем сказанное на следующем примере. Предположим, что
частота опорного сигнала задана равной f ref  10 МГц, nd 1  5 , nd 2  6 . Требуемая
частота
формируемого
сигнала
u c t 
равна
f c  51,25 МГц.
Рассчитаем
эквивалентное значение коэффициента деления nd _ fr согласно (1.9):
nd _ fr 
fc
 5,125  5  0,125 .
f ref
Согласно (1.15) x  7 , y  1 , а T p  8Tref . Таким образом, можно отсчитать семь
импульсов сигнала u d t  , после чего изменить значение nd с nd 1 на nd 2 . На
рисунке 1.16 представлены соответствующие временные зависимости сигналов
u c t  ,
u div t  ,
u d t  ,
u ref t  ,
u PD t 
и
u LPF t  ,
полученные в результате
моделирования в среде Simulink. Как видно из рисунка при изменении
коэффициента
деления
делителя
количество
импульсов
сигнала
ud t  ,
расположенных на интервале T p , меняется в соответствии с текущим значением
nd . Период сигнала u d t  увеличивается, или уменьшается на величину Tc .
Значение Tref расположено между Td _ 1 и Td _ 2 . Так как Td _ 1 и Td _ 2 отличаются на
Tc , то с увеличением частоты f c , а, следовательно, и значений nd , функция  e t 
стремится к  e 0 .
39
В общем, процедура управления частотой выходного колебания синтезатора
осуществляется по следующему алгоритму:
1. В соответствии с выражением (1.9) рассчитывается требуемое значение
эквивалентного коэффициента деления nd _ fr .
2. На основании рассчитанного значения nd _ fr посредством внешних цепей
управления задаются численные значения коэффициента деления nd , между
которыми будет производиться переключение.
3. В блоке управления делителем формируется сигнал u div t  , определяющий
порядок переключения между значениями nd .
Рисунок 1.16 – Временные зависимости сигналов в узлах схемы синтезатора
частот
1.3.2 Метод подавления импульсов
В синтезаторах частот на основе схемы подавления импульсов в качестве
блока
управления
делителем
используется
N – разрядный
аккумулятор.
Аккумулятор является цифровым устройством последовательного типа и состоит
из полных сумматоров, одного полусумматора и N – разрядного параллельного
регистра (см. рисунок 1.17). Тактовым сигналом для аккумулятора служит сигнал
u d t  , поступающий на вывод CLK регистра. На входы аккумулятора подаются
сигналы, задаваемые внешними цепями управления, и соответствующие числу k ,
представленному в двоичной системе счета, т.е.
40
k   x1 , x2 , ..., x N  ,
где k – целое, не отрицательное, не нулевое число, x1 и x N – младший и старший
значащие разряды соответственно. При поступлении импульса тактового сигнала
суммируются разряды  x1 , x2 , ..., x N  числа k и разряды  y1 , y 2 , ..., y N  числа acur ,
хранимого в регистре. Результат суммирования выводится на выводы Q1 , Q2 , ..., QN
регистра и сохраняется до прихода следующего тактового импульса.
Рисунок 1.17 – Структурная схема аккумулятора
Максимальное число amax , хранимое в регистре, определяется разрядностью
аккумулятора, и рассчитывается согласно следующему выражению:
amax  2 N .
Если значение acur превышает величину amax , то на выводе OVF полного
сумматора, суммирующего старшие разряды чисел k и acur , формируется
импульс, соответствующий переносу старшего разряда. При этом в регистре
сохраняется число, равное разности amax и acur . Таким образом, принцип работы
аккумулятора описывается выражением:
acur m  1  k ,
acur m   
acur m  1  k  a max ,
если acur m  1  k  amax
если acur m  1  k  amax
,
(1.17)
41
где m – порядковый номер тактового импульса. Последовательность импульсов,
соответствующих переносу старшего разряда, образует сигнал управления u div t 
блоком делителя.
Выше было отмечено, что в соответствии с рассчитанным значением nd _ fr
необходимо задать численные значения коэффициента деления nd , а также сигнал
управления u div t  . Данные действия можно представить следующим образом. Так
как сигнал
u div t  представляет собой последовательность импульсов, то
количество уровней или количество возможных состояний u div t  равно двум.
Поэтому, коэффициент деления nd принимает только два значения, а именно:
nd 1  n и n d 2  n  1 .
При этом значение nd 1 соответствует низкому уровню, а значение nd 2 – высокому
уровню сигнала u div t  . Таким образом, текущее значение nd можно представить
как сумму nd 1  n и функции u div t  , принимающей два значения: единицу и ноль.
В этом случае, для установки часты сигнала ГУН необходимо выбрать значение
nd
равным
n , соответствующее целой части
эквивалентного
коэффициента
деления
nd _ fr
nd _ fr . Дробная часть
определяется

величиной
записываемого числа k . Чем больше значение k , тем больше частота появления
импульсов сигнала u div t  , и тем чаще частота сигнала u c t  делится на nd 2 , а
значение nd _ fr стремится к nd 2 . Структурная схема синтезатора частот на основе
аккумулятора, соответствующая указным предположениям, представлена на
рисунке 1.18. Покажем, что функция u div t  или u div t  всегда периодическая с
максимальным периодом T p , равным длительности amax импульсов тактового
сигнала. Пусть текущее число, записанное в аккумулятор не равно нулю, т.е.
acur  a . Если в аккумулятор будет записываться число k , то без учета эффекта
переполнения регистра через m  amax импульсов тактового сигнала значение acur
составит:
acur amax   a  kamax .
42
Учтем эффект переполнения регистра, тогда согласно (1.17) величина amax будет
вычитаться из acur ровно k раз, и значение acur вернется к исходному, т.е.
acur amax   a  kamax  kamax  a .
Сказанное верно и при a  0 . Таким образом, показали, что функция u div t 
периодическая с максимальным периодом T p
равным длительности
amax
импульсов тактового сигнала.
Рисунок 1.18 – Структурная схема синтезатора частот на основе схемы
подавления импульсов
Определим связь между числом k и дробной частью 
эквивалентного
коэффициента деления nd _ fr и покажем зависимость периода T p от отношения k и
amax . Для этого рассмотрим следующие три возможных случая: числа k и amax
кратны друг другу, числа k и amax не кратны друг другу, но имеют общий
множитель, числа k и amax образуют несократимую дробь. Пусть числа k и amax
кратны друг другу, тогда величина amax равна:
amax  km .
Без потери общности положим текущее значение acur равным нулю. Тогда, в
течение m  1 импульса сигнала u d t  частота сигнала u c t  будет делиться на nd 1 .
При поступлении m -ого импульса сигнала u d t  произойдет переполнение
43
аккумулятора, уровень сигнала u div t  изменится с низкого на высокий, а значение
u div t  изменится с нулевого на единичное. Частота сигнала u c t  будет делиться
на nd 2 до прихода следующего тактового импульса. Период T p сигналов u div t  и
u d t  составит:
T p  m  1Td _ 1  Td _ 2  m  1Tc n  Tc n  1 .
(1.18)
При заданной частоте f ref ГОЧ равенства (1.8) и (1.9) выполняются при значении
f c , удовлетворяющем выражению (1.6), т.е.
Tref 
Tp
1

 Tc  n   .
m
m

Согласно (1.8) и (1.9) значение  равно:

1
.
m
Учитывая, что amax  km , перепишем выражение для  :

k
amax
.
(1.19)
Теперь рассмотрим случай, когда числа amax и k не кратны друг другу, но имеют
общий множитель acom . Тогда, представим amax и k в следующем виде:
amax  racom ,
k  k irr acom ,
где k irr , r – целые, не отрицательные числа. За r импульсов сигнала u d t 
суммарное число, записанное в аккумулятор будет равно:
acur r   rk  rk irr acom  k irr amax .
Следовательно, период T p сигналов u div t  и u d t  будет равен длительности r
импульсов сигнала u d t  . Выше было показано, что переполнение регистра будет
происходить ровно k irr раз, т.е. за время T p частота сигнала u c t  будет делиться
на nd 2 в течение k irr импульсов u d t  , и на nd 1 – в течение r  k irr  импульсов
u d t  . Согласно (1.6) выражение для T p примет следующий вид:
44
T p  r  k irr Td _ 1  k irr Td _ 2  r  k irr Tc n  k irr Tc n  1  rTref .
(1.20)
Согласно (1.8) и (1.9)  будет равно:

k irr
k

.
r
a max
Действуя по аналогии можно показать, что выражение (1.19) справедливо и для
случая, когда числа amax и k не имеют общего множителя. При этом период T p
рассчитывается согласно следующему выражению:
T p  amax  k Td _ 1  kTd _ 2  a max  k Tc n  kTc n  1  amaxTref .
(1.21)
Недостатком синтезаторов с дробным коэффициентом деления является
наличие побочных гармоник в выходном спектре ГУН, обусловленных
принципом формирования дробного коэффициента деления. В режиме удержания
мгновенные частоты сравниваемых сигналов не равны друг другу, а разность фаз
 e t  не равна постоянной величине. Зависимость от времени  e t  приводит к
модуляции управляющего сигнала ГУН u PD t  . При этом в спектре выходного
сигнала u c t  появляются паразитные гармоники с частотами меньше
f ref .
Следовательно, необходимо использовать ФНЧ с меньшей частотой среза и
повышать порядок фильтра. В частности при использовании аккумулятора
частота среза ФНЧ должна быть не более 1 / a maxTref . Повышение разрешения по
частоте требует увеличения разрядности аккумулятора, а, следовательно, и
значения amax . Вследствие этого необходимо принимать определенные меры для
стабилизации управляющего напряжения ГУН.
Для наглядности рассмотрим следующий пример. Предположим, что
частота опорного сигнала задана равной
f ref  10 МГц,
amax  2 4  16 . Частота формируемого сигнала u c t  равна
nd 1  5 ,
nd 2  6 ,
f c  51,875 МГц.
Рассчитаем эквивалентное значение коэффициента деления nd _ fr согласно (1.6):
nd _ fr 
Согласно выражению (1.19):
fc
 5,1875  5  0,1875 .
f ref
45
k  a max  0,1875  16  3 .
Согласно (1.21) значение периода T p равно:
T p  16Tref  1,6 мкс,
а минимальная частота паразитной гармоники равна:
1 / T p  625 кГц.
На рисунке 1.19 показаны временные зависимости сигналов в узлах синтезатора, а
также приведена зависимость от времени числа acur , представленного в
десятичной системе счисления. Отметим, что импульс сигнала u div t  формируется
за один такт перед переполнением регистра. Данный факт объясняется принципом
работы сумматора.
Рисунок 1.19 – Временные зависимости сигналов в узлах схемы синтезатора
частот на основе аккумулятора
На рисунке 1.20 приведен спектр сигнала u PD t  . Как и предполагалось, в спектре
сигнала присутствуют составляющие с частотами менее f ref . Так как первая
гармоника распложена на частоте равной 1 / T p , то для повышения стабильности
управляющего сигнала ГУН требуется ФНЧ с частотой среза менее 1 / T p .
46
Рисунок 1.20 – Спектр сигнала u PD t 
1.3.3 Фазовая интерполяция и случайная модуляция по Уитли
Выше было отмечено, что при формировании дробного коэффициента
деления в спектре сигнала u c t  возникают паразитные гармоники. В частности,
из рисунка 1.19 видно, что в режиме удержания сигнал u PD t  представляет собой
последовательность
импульсов
одинаковой
амплитуды,
но
переменной
длительности, что приводит к модуляции управляющего сигнала ГУН.
Уменьшения уровня гармоник можно добиться за счет введения в цепь между ФД
и ФНЧ компенсирующего сигнала, пропорционального фазовой ошибке. То есть в
пределе добиться равенства периодов сравниваемых сигналов. В первую очередь
определим связь между числом acur , хранимым в регистре, и разностью фаз  e t  .
Выше было отмечено, что фазовый детектор реализуется на основе цифровых
устройств последовательного типа, поэтому значения  e t  удобно отсчитывать в
дискретные моменты времени. Так как частота f ref считается постоянной, то шаг
дискретизации выберем равным Tref . Вместо функции u div t  , воспользуемся
функцией u div t  , и так же перейдем от непрерывного времени к дискретному.
Тогда, значение u div m  на m -ом временном интервале определятся выражением:
0, если acur m  1  k  amax
u div m   
,
1, если acur m  1  k  a max
(1.22)
В свою очередь период сигнала u d t  изменяется в зависимости от значения
u div m  и в общем случае описывается выражением:
47
Td 
n  u  m  .
(1.23)
div
fc
Считаем, что в момент времени t  0 функция  e 0   0 . За время Tref значение
 e Tref  относительно периода u ref t  составит:
 e Tref   2
T
ref
 Td 
Tref
 n  u div
 m  
.
 2 1 

T
f
ref c


(1.24)
Согласно (1.6, 1.19) связь между частотами  c и  ref определяется равенством:
f c  nd _ fr f ref 
1
Tref

k 
 n 
.
amax 

Подставим данное выражение в (1.24) и сократим подобные члены:
 e Tref   2
k / amax  u div m 
.
n  k / amax
(1.25)
В конце каждого интервала Tref значение  e t  будет изменяться на величину
 e Tref . Так как T p кратно Tref , то для определения значения  e  pTref  необходимо
рассчитать сумму  e Tref  с учетом значения u div m  на m -ом интервале T p . Тогда,
выражение (1.25) примет следующий вид:
 e  pTref  
2
n  k / a max
 k

2
 (m)  

 u div

m 1  a max
 nd _ fr amax
p
p
 k  a
m 1
max
 ( m)  .
u div
Учитывая выражение (1.17) окончательно получим:
 e m  
2
acur m  .
nd _ fr amax
(1.26)
Таким образом, показали, что текущее число в аккумуляторе пропорционально
фазовой
ошибке
между
сравниваемыми
сигналами.
Поэтому,
цифровой
аккумулятор иногда называют "цифровым аккумулятором фазы" (англ. "digital
phase accumulator"). Из выражение (1.26) следует, что в конце каждого m -го
интервала часть длительности импульса сигнала u PD t  , соответствующая фазовой
ошибке, равна:
48
t 
acur m Tref
nd _ fr amax

acur m Tref
n   a
max

acur m Tref
namax
.
(1.27)
Из сказанного следует, что для компенсации эффекта модуляции управляющего
напряжения ГУН в цепь между ФД и ФНЧ необходимо инжектировать импульсы,
длительность
которых
определяется
согласно
(1.27).
Амплитуды
компенсирующих импульсов и импульсов сигнала u PD t  должны быть равны, но
иметь противоположную полярность. В данном случае компенсирующие
импульсы должны инжектироваться в моменты времени, совпадающие с
передним фронтом импульса сигнала u d t  . Представленную процедуру можно
рассматривать как выравнивание периодов сравниваемых сигналов. Однако на
практике величина t может принимать очень маленькие значение, что вызывает
сложности
при
реализации
импульсов.
Поэтому,
вместо
устройств
формирования
компенсирующих
компенсирующих
импульсов
с
постоянной
амплитудой, формируют импульсы с амплитудой равной acur m  / a max , и с
длительностью
равной
Tref / n    .
Структурная
схема,
соответствующая
рассмотренному подходу, представлена на рисунке 1.21. Рисунки 1.18 и 1.21
различаются
только
наличием
цифро-аналогового
преобразователя,
позволяющего формировать импульсы с требуемой амплитудой. Длительность
компенсирующих импульсов примерно равна Tc . Отметим, что данный подход не
позволяет полностью компенсировать модуляцию управляющего напряжения
ГУН, так как типы компенсирующего сигнала и сигнала u PD t  . Первый сигнал
представляет
собой
последовательность
импульсов
модулированных
по
амплитуде и с постоянной длительностью. Второй сигнал состоит из импульсов с
постоянной амплитудой, но модулированных по длительности. По сравнению со
схемой на рисунке 1.18, в рассматриваемой схеме уровень паразитных гармоник
будет ниже. Так же данный подход предъявляет высокие требования к точности
ЦАП.
49
Рисунок 1.21 – Структурная схема синтезатора частот с использованием
фазовой интерполяции
Выше было показано, что сигнал u div t  всегда периодический. Как
следствие сигнал u PD t  также, периодический. Периоды обоих сигналов равны
T p . Так же было отмечено, что величина T p зависит от отношения k / amax . При
этом частоты паразитных гармоник пропорциональны 1 / T p . На основании данных
наблюдений можно сделать следующий вывод. Изменяя значение k
на
случайную величину можно добиться случайного изменения периода T p . В
пределе сигнал u PD t  уже не будет периодическим, но среднее значение u PD t 
будет постоянным. Данный метод был запатентован Уитли в 1983 г. [1.21]. Одна
из реализаций данного подхода представлена на рисунке 1.22. При переполнении
аккумулятора к записываемой величине k добавляется или отнимается случайное
число x .
Рисунок 1.22 – Схема случайной модуляции по Уитли.
50
Данная процедура приводит к уменьшению уровня паразитных составляющих, но
увеличиваются фазовые шумы ГУН. Увеличение уровня шумов обусловлено
случайным изменением величины k , что можно рассматривать как введение
источника белого шума в цепь между ФД и ФНЧ. Как следствие низкочастотная
составляющая шумового сигнала просачивается на выход ФНЧ.
1.3.4 Метод модуляции на основе дельта-сигма модуляторов
Предложенные
методики
улучшение
временных
и
спектральных
характеристик синтезаторов частот на основе системы ФАПЧ имеют ряд
недостатков. В спектре выходного сигнала синтезаторов на основе схемы
подавления
импульсов
содержатся
паразитные
гармоники,
определяемые
принципом работы схемы. Применение фазовой интерполяции для компенсации
паразитных гармоник требует использования прецизионных ЦАП, что не только
повышает потребляемую мощность устройства, требуемую площадь кристалла,
но принципиально не позволяет компенсировать модуляцию управляющего
напряжения ГУН. Уменьшение уровня побочных компонент в спектре сигнала,
достигается при одновременном повышении уровня фазовых шумов ГУН. Одной
из причин появления фазовых шумов является ограниченная разрядность ЦАП, и,
как следствие, в управляющем сигнале ГУН появляется шумовая составляющая,
т.е. шум квантования. Случайная модуляция по Уитли не требует прецизионных
компонентов, так как периодичность управляющего сигнала нарушается
введением случайной добавки к управляющему слову. С другой стороны,
случайное
изменение
управляющего
слова
приводит
к
появлению
широкополосной шумовой составляющей в управляющем сигнале ГУН. Как
следствие повышается уровень фазовых шумов синтезатора.
Развитием идеи Уитли стало использование дельта–сигма модуляторов для
формирования сигнала управления делителем частоты u div t  [1.22, 1.23].
Считается, что впервые принцип дельта-сигма модуляции (как и сам термин) был
представлен в работе Inose H. [1.24] в качестве альтернативы дельта модуляции и
импульсно-кодовой модуляции для использования в системах связи. Недостатком
51
импульсно-кодовой
модуляции
является
сложность
схемных
решений.
Использование дельта модуляции позволяет упростить структуру модулятора.
Однако для обеспечения одинакового качества связи дельта-модуляция (ДМ)
требует большей полосы частот. В основе ДМ лежит определения знака
приращения аналогового сигнала за достаточно малый промежуток времени. При
этом положительному приращению соответствует положительный импульс, а
отрицательному
полярности.
При
интегрирование,
–
отсутствие
импульса
восстановлении
что
приводит
сигнала
к
или
в
импульс
противоположной
демодуляторе
аккумулятивной
ошибке.
производится
Дельта-сигма
модуляторы (ДСМ) при одинаковой полосе частот лишены данного недостатка,
так как выходные импульсы несут информацию соответствующую амплитуде
сигнала, а не ее приращению. Модулятор ДСМ имеет те же самые элементы, что и
типичный дельта модулятор, только изменены их взаимные соединения.
Демодулятор ДСМ упрощается за счет исключения из его состава интегратора.
Кратко рассмотрим основные принципы работы ДСМ. В состав модулятора
входит нелинейный элемент – квантователь. Задача квантователя состоит в
преобразовании значений каждого отсчета входного сигнала xn в одно из
конечного множества квантованных по уровню величин. Обозначим операцию
как
xˆn  Q xn .
Введем понятия ошибки квантования en :
en  xˆn  xn.
Для простоты рассмотрим квантователь с двухуровневой характеристикой. Если
значения xn не превышают   , то значения en остаются в пределах   / 2 . В
противном случае, говорят, что отсчеты срезаются. Сделаем следующие
предположения: en – отсчеты стационарного случайного процесса, en не
коррелируют с xn , соседние отсчеты en не коррелируют между собой,
плотность вероятности en равномерна в интервале от   / 2 до  / 2 . В общем,
сделанные предположения хорошо выполняются при достаточно сложных
52
сигналах (например, речевых). При сделанных предположениях ошибку и
округления или шум квантования можно считать аддитивным. Так как
математическое ожидание en равно нулю, то мощность шума квантования
совпадает с дисперсией и равна:
2
1 2
   e pe de   e de  .
12

 / 2 

/2
2
2
Соответствующая спектральная плотность мощности:
2 1
Se  f  
.
12 f s
Согласно [1.25] линеаризованная модель ДСМ первого порядка описывается
выражением:
y n   xn  1  en   en  1 .
(1.28)
Таким образом, ДСМ не изменяет входной сигнал за исключением вносимой
задержки.
Ошибка
квантования
уменьшена
до
разности
между
двумя
последовательными отсчетами. Представим выражение (1.28) в z-области, тогда
Y  z   X  z z 1  1  z 1 E  z  .
(1.29)
Множитель при E  z  обозначим через H e  z  . Перепишем выражение для H e  z  в
частотной области путем подстановки z  e jT :
H e e
i 2 f

2
2
 1 e
 jT 2

 T  
  2 sin 
 .
 2 

Следовательно, спектральная плотность мощности шума на выходе модулятора
равна
S y  f   S e  f  H e e
i 2 f

2
2
e 
2
2 
 T  
 T  

 2 sin 
 2 sin 
 
 .
fs 
 2   12 f s 
 2 
2
Таким образом, в ДСМ происходит подавление низкочастотной части шума
квантования и усиление высокочастотной. С ростом порядка модулятора будет
изменяться и H e e i 2f  :
H e e i 2f   1  e  jT
2
2p
,
53
где p – порядок модулятора. Из выражения для S y  f  видно, что рост частоты
дискретизации f s и p дает выигрыш в отношении сигнал-шум на выходе ДСМ
при фиксированной полосе сигнала входного сигнала. Так в работах [1.22, 1.23]
показана эквивалентность однокаскадного ДСМ первого порядка аккумулятору.
Однако, как было показано выше, использование аккумулятора приводит к
появлению побочных гармоник в выходном спектре сигнала ГУН. Это
объясняется
тем,
что
данные
выкладки
приводились
в
предположении
переменного входного сигнала модулятора. Для формирования фиксированного
коэффициента деления входной сигнал модулятора должен быть постоянен.
Поэтому на практике используются модуляторы более высокого порядка.
1.4 Характеристики передатчиков и синтезаторов частот
В связи с тем, что синтезаторы частот, как правило, входят в состав
передатчиков,
рассмотрение
начнем
с
передатчиков.
Характеристики,
свойственные только синтезаторам частот, будут рассмотрены отдельно.
Передатчики с предварительной коррекцией представлены в работах [1.19,
1.26–1.28]. Оба устройства выполнены по технологии КМОП с нормой
технологического процесса 0,18 мкм. Измеренная скорость передачи данных
составила 2 Мчипа/с. Передатчики обеспечивают высокую точность модуляции,
определяемую амплитудой вектора ошибки. Так при максимально допустимом
значении 35%, в среднем измеренная амплитуда вектора ошибки не превышает
7%. Отметим, что в обоих случаях используются УМ класса АВ, а мощность
сигнала на выходе составляет в среднем 3 дБм. Максимальное значение
спектральной плотности мощности сигнала на выходе передатчика при отстройке
на 3,5 МГц от частоты несущего колебания меньше на 6 дБ допустимого
значения. Площадь кристалла, занимаемая передатчиком, в среднем составила
1,1 мм2. Общая площадь кристалла включая аналоговую часть приемника и
сопутствующие цифровые схемы составила 5,77 мм2. При напряжении питания
1,8 В потребляемый ток равен 16-18 мА или 28,8-32,4 мВт.
54
В работах [1.29–1.31] представлены передатчики на основе двухточечной
схемы. Образцы в работах [1.29, 1.30] изготовлены по технологии 0,18 мкм, а в
работе [1.31] –по технологии 0,15 мкм. Обеспечиваемая скорость передачи
данных 2 Мчипа/с [1.30, 1.31] и более [1.29]. Амплитуда вектора ошибки в
среднем не превышает 7%. Мощность сигнала на выходе в среднем составила
0 дБм. Относительная величина спектральной плотности мощности при отстройке
на 3,5 МГц равна 25 дБ, что на 5 дБ ниже максимально допустимого значения.
Площадь кристалла микросхем передатчиков не превышает 1,21 мм2. Напряжения
питания микросхем составили 1,4 В, 1,8 В, 1,55 В в работах [1.29], [1.30], [1.31]
соответственно. Потребляемая мощность устройств находится в пределах 923 мВт.
Передатчики с однократным переносом представлены в работах [1.32–1.36].
Все устройства изготовлены по нормам технологического процесса 0,18 мкм.
Важно отметить, что данные структуры не имеют ограничений по скорости
передачи данных, связанные с инерционностью петли ФАПЧ. Здесь одним из
основных ограничивающих факторов является рост потребляемой мощности ЦАП
в плечах схемы, связанный с повышением тактовой частоты преобразователей.
Таким образом, все образцы обеспечивают скорость передачи данных, равную
2 Мчипам/с и более. Амплитуда вектора ошибки варьируется в пределах работ
между 9% и 13%. Мощность сигнала на выходе передатчика примерно 0 дБм.
Запас по уровню спектральной плотности мощности составляет 3-4 дБ. В работе
[1.32] площадь передатчика, состоящего только из УМ и смесителя, составила
1,62 мм2, а потребляемая мощность при напряжении питания 1,8 В равна 5 мВт. В
работе [1.33] общая площадь кристалла приемо-передатчика – 3,61 мм2, а
потребляемая мощность 16,2 мВт. В состав микросхемы не входит синтезатор
частот, однако входят делитель с коэффициентом деления равным двум и буфер.
Их задачей является уменьшение просачивания сигнала гетеродина на выход
схемы. Потребляемая мощность передающей части устройств включая синтезатор
частот, представленные в работах [1.34–1.36] составила 30 мВт, а площадь
приемо-передатчика 6,5-8,7 мм2.
55
Перейдем к рассмотрению характеристик синтезаторов частот. Отметим,
что ГУН обычно представляет собой LC генератор. Фазовые детекторы и
делители частоты строятся основе логики TSPC (True single-phase clocked logic).
Как правило, фильтр нижних частот имеет сложную структуру. Первая часть
фильтра строится на основе цепей накачки, а вторая часть выполняет функции
фильтрации. Генераторы опорной частоты строятся на основе высокостабильных
кварцевых резонаторов. Частота ГОЧ определяет рабочую частоту внутренних
блоков синтезатора. Чем больше частота, тем больше потребляемая мощность.
Однако в следующей главе будет показано, что увеличение частоты ГОЧ
позволяет уменьшить инерционность петли ФАПЧ, уровень паразитных гармоник
и уровень фазовых шумов в выходном спектре ГУН. Так средние значения
опорных частот составляют 24-52 МГц [1.19, 1.26, 1.27, 1.31]. Также, важной
характеристикой синтезатора частот является время перестройки по частоте.
Типичные значения времен установления частоты выходного колебания лежат в
диапазоне 20-50 мкс [1.29, 1.30, 1.35]. Уровень фазовых шумов в выходном
сигнале лежит в пределах минус 107 – минус 123 дБс/Гц [1.29–1.31, 1.37].
Потребляемая мощность находится в пределах 3,2-9 мВт [1.29, 1.30, 1.37, 1.38].
Площадь
кристалла
равна
примерно
0,5 мм2
[1.37].
Подробный
обзор
характеристик передатчиков и синтезаторов частот приводится в таблицах А.1–
А.4 в приложении А.
1.5 Цель и задачи работы
Целью работы является синтез и реализация синтезатора частот для
беспроводных систем радиочастотной идентификации с учетом совокупных
требований на длительность времени перестройки, уровень паразитных гармоник
и фазовых шумов.
Для достижения поставленной цели в работе решаются следующие задачи:
1. Разработать методику моделирования для оценки спектральной плотности
средней мощности фазовых шумов на выходе синтезатора частот.
56
2. Разработать функциональную модель синтезаторов частот во временной и
частотной областях с учетом задержек, несимметричности, динамических,
статических и нелинейных характеристик основных блоков синтезатора частот.
3. Разработать методики расчета основных блоков синтезатора частот с учетом
совокупных
требований
на
длительность
времени
перестройки,
уровень
паразитных гармоник и фазовых шумов.
4. Разработать на схемном уровне и провести расчет всех устройств синтезатора
частот с использованием разработанных методик, провести моделирование
синтезатора частот как на основе разработанной функциональной модели, так и
на схемном уровне.
5. Разработать интегральную схему синтезатора частот, провести измерение
основных характеристик и сравнить результаты теоретических расчетов,
моделирования и эксперимента.
57
2 Методика синтеза и моделирование синтезаторов частот
2.1 Общие положения
Полная характеристика синтезатора частот определяется его свойствами в
частотной и временной области. При этом наличие в составе устройства блоков,
работающих на частотах, отличающихся на несколько порядков, приводит к
существенному росту времени моделирования на транзисторном уровне. Учет
шумов внутренних блоков, их количество, нелинейность характеристик могут
приводить к ситуациям, когда данный тип анализа не представляется возможным
в силу ограничения вычислительной мощности, нецелесообразно большого
времени моделирования, ограничений применяемого математического аппарата.
Для решения указанной проблемы на практике применяют комбинированные
подходы. В этом случае на раннем этапе разработки проводится анализ в
символьном виде и/или на уровне поведенческой модели, что позволяет
сформировать требования к отдельным блокам системы для соответствия
конечного изделия техническому заданию. Программы схемотехнического
моделирования используются для проверки соответствия характеристик блоков
заданным. При возможности проводится моделирование всего устройства.
Однако упрощенные методы обычно не позволяют провести полный анализ
системы и/или ограничиваются определенными аппаратными структурами. Так, в
работе [2.1] представлена модель, описывающая шумовые свойства синтезатора с
дробным коэффициентом деления в частотной области. Всего рассматривается
шесть источников шума: шумы входного буфера опорного генератора, опорного
генератора, генератора, управляемого напряжением, пассивного фильтра нижних
частот, цепи накачки и шум квантования MASH–модулятора. Особенность
предложенной модели заключается в том, что учитывается нелинейность
характеристики фазового детектора в первом приближении. Указанный эффект
приводит к переносу части шума квантования дельта-сигма модулятора в область
низких частот, т.е. в полосу пропускания ФНЧ. При этом остальные блоки
устройства считаются линейными, и результирующий фазовый шум на выходе
системы определяется суммированием вкладов отдельных блоков на основании
58
принципа суперпозиции. Модель, представленная в работе [2.2], также описывает
шумовые свойства синтезатора частот и основывается на предположении
линейности характеристик блоков устройства. В отличие от [2.1] модель
позволяет учесть шум квантования, как MASH-модуляторов, так и модуляторов с
разрядностью квантователя больше единицы. В остальном предложенное решение
идентично подходу, изложенному в [2.1]. В работах [2.3, 2.4] рассмотрена
поведенческая модель синтезатора частот, основывающаяся на моделировании во
временной области с фиксированным шагом дискретизации по времени. Анализ в
частотной области проводится за счет использования преобразования Фурье от
сигналов в узлах схемы. Такой подход позволяет получить оценку, как
динамических, так и спектральных характеристик устройства. В эквивалентной
схеме учитываются шумы опорного генератора, дельта-сигма модулятора,
генератора, управляемого напряжением. Анализ строится на предположении
линейности блоков устройства. В целом, несмотря на использование анализа во
временной области, время моделирования сопоставимо с символьным анализом.
В работе [2.5] авторы представили расширенный анализ шумовых свойств
синтезаторов с дробным коэффициентом деления. Так, влияние нелинейности
характеристик блоков фазового детектора и цепи накачки проводится во
временной области в предположении установившегося режима работы петли. При
этом учитывается стационарная разность амплитуд токов накачки и разряда,
динамическая разность токов, вызванная различными длительностями фронтов
импульсов тока и асинхронность сброса триггеров фазового детектора. Итоговый
вклад в фазовые шумы проводится на основании метода суперпозиции. Модель
учитывает шум генератора, управляемого напряжением, фильтра нижних частот,
делителя частоты и фазового детектора. Их анализ проводится по аналогии с
процедурами, описанными в [2.1, 2.2]. Представленные подходы имеют ряд
недостатков. Как правило, синтезатор частот содержит аналоговые и дискретные
цепи, например, фазовый детектор, цепь накачки. Так в общем случае сигналы в
системе уже нельзя считать континуальными, необходимо использовать
дискретные модели устройств, что ведет к усложнению анализа [2.6–2.11]. В
59
работе [2.6] показано, что систему можно считать непрерывной во временной
области, если отношение частоты сравнения и полосы пропускания передаточной
функции замкнутой петли ФАПЧ больше десяти. При приближении полосы
пропускания к указанному значению характеристики реальной системы начинают
отклоняться от рассчитанных, так как условия устойчивости непрерывной и
дискретной систем в общем случае не совпадают. Также аналоговая модель не
учитывает появление дискретных паразитных гармоник, кратных частоте
сравнения, в выходном спектре ГУН. Данный эффект является следствием того,
что выходной сигнал ЦН импульсный. В перечисленных работах передаточная
характеристика ГУН предполагается линейной, что может приводить к большим
ошибкам при оценке времени перестройки по частоте синтезатора. Также в [2.1–
2.5] шум квантования дельта-сигма модулятора считается «белым». Однако при
формировании постоянного колебания отсчеты выходного сигнала имеют
сильную корреляцию с входным сигналом и между собой. В результате без
принятия специальных мер спектр сигнала ДСМ оказывается дискретным и
просачивается на управляющий вход ГУН [2.12]. Учесть указанные недостатки
позволяет поведенческая модель синтезатора, рассмотренная ниже [2.17].
Моделирование проводится во временной области в среде Simulink. Расчет в
частотной области основывается на преобразовании Фурье сигналов в узлах
схемы. Рассмотрим типичную структурную схему синтезаторов, представленную
на рисунке 2.1. В состав входят: генератор опорной частоты (ГОЧ), фазовый
детектор (ФД), цепь накачки (ЦН), фильтр нижних частот (ФНЧ), генератор,
управляемый напряжением (ГУН), блок делителя частоты (БДЧ), дельта-сигма
модулятор (ДСМ). В качестве ГОЧ на практике используются кварцевые
генераторы. Выходной сигнал ГОЧ u ref t  определяется схемотехникой и обычно
имеет синусоидальную или прямоугольную формы. Фазовый детектор обычно
строится на основе D-триггеров. При этом устройство может находиться в трех
состояниях: один из выходов устройства находится в высокоомном состоянии, т.е.
присутствует только один из выходных сигналов uup t  или udw t  , оба выхода
находятся в высокоомном состоянии.
60
Рисунок 2.1 – Структурная схема синтезатора частот
Особенность данного типа детекторов заключается в том, что режим работы ФД
зависит от текущего состояния петли ФАПЧ. Если частоты сравниваемых
сигналов отличаются, т.е. петля находится в режиме захвата, только один из
выходов детектора находится в высокоомном состоянии. В режиме удержания,
напротив, выходы находятся в активном состоянии десятую или сотую часть от
периода опорного сигнала, после чего переходят в высокоомное. Такое поведение
вызвано тем, что петля стремится поддерживать разность фаз близкой нулю, при
этом сигналы uup t  и udw t  пропорциональны разности фаз. В любом случае
uup t  , udw t  являются импульсными, что будет учитываться при разработке
модели.
В
качестве
следующего
блока
обычно
устанавливается
ЦН,
преобразующая импульсы напряжения на выходе ФД в импульсы тока icp t 
разной полярности, т.е. icp t  правильно представить в виде суммы двух
импульсных сигналов:
icp t   iup t   idw t  .
В идеальном случае, когда амплитуды iup t  , idw t  равны по модулю и не смещены
друг
относительно
друга
по
времени
icp t 
будет
представлять
собой
прямоугольные импульсы тока разной полярности. В общем случае структура
icp t  будет сложной. ФНЧ, следующий за блоком ЦН, отвечает за преобразование
импульсов тока icp t  в напряжение u LPF t  , управляющее ГУН. Необходимо
61
отметить, что даже в режиме удержания при работе на постоянной частоте из-за
влияния фазовых шумов ГУН петля ФАПЧ будет стремиться компенсировать
фазовые сдвиги сигнала ГУН. Данный эффект приводит к тому, что u LPF t 
меняется во времени, однако именно среднее значение, т.е. постоянная
составляющая u LPF t  определяет частоту несущего колебания. Указанная
структура синтезатора позволяет уменьшить потребляемую мощность, расширить
полосу удержания и уменьшить время перестройки по частоте за счет свойства
ФД работать в режиме частотного детектора при больших расстройках по частоте.
В режиме захвата сигналы uup t  и udw t  пропорциональны разности частот, а в
режиме удержания – разности фаз. В последнем случае система стремится
уменьшить разность фаз – в пределе свести ее к нулю. Длительность импульсов
тока также стремится к нулю. Как следствие, уменьшается потребляемая
мощность ЦН. Перестройку по частоте можно реализовывать путем изменения
частоты
ГОЧ
или
коэффициента
деления
БДЧ.
Так
как
построение
высокостабильного перестраиваемого источника опорный частоты представляет
собой известную проблему, то на практике обычно используют второй подход.
БДЧ реализуется на основе цифровой схемотехники, что позволяет изменять
коэффициент деления nd . При этом nd принимает целые положительные
значения. Так как в режиме удержания частоты сравниваемых сигналов равны,
сдвиг фаз постоянен, то основное уравнение синтезатора частот можно записать в
следующем виде:
f c  nd f ref .
Так как минимальное приращение nd равно единице, то минимальный шаг
перестройки по частоте равен:
f st  f c 2  f c1  nd  1 f ref  nd f ref  f ref .
Чем меньше требуется шаг перестройки по частоте, тем меньше должна быть f ref .
Как следствие, частота среза ФНЧ также должна быть меньше. Что приводит к
увеличению времени перестройки по частоте и уровню фазовых шумов системы.
Для преодоления указанного недостатка был предложен следующий подход.
62
Пусть задана частота f ref ГОЧ. Требуется сформировать колебание ГУН с
частотой f c не кратной частоте ГОЧ. Тогда отношение частот f c и f ref обозначим
через nd _ fr , где nd _ fr – дробное число. Согласно уравнению синтезатора частот
коэффициент деления БДЧ nd должен быть равен nd _ fr . Однако nd принимает
только целые значения, т.е. частоты сравниваемых сигналов ГОЧ u ref t  и БДЧ
ud t  будут отличаться. Неравенство частот приведет к тому, что разность фаз
сигналов  t  будет возрастать во времени как:

f 
 t    ref t    d t   2   f ref  f d dt  2   f ref  c dt .
nd 
0
0
t
Считаем частоту сигнала ГУН равной
t
f c . Коэффициент деления nd БДЧ
переключается между целыми значениями большими и меньшими nd _ fr таким
образом, что f d либо больше чем f ref , либо меньше. Если потребовать, чтобы за
интервал времени t F среднее значение f d было равным f ref , то среднее значение
 t    будет постоянным и не зависеть от времени. Таким образом, можно
считать, что частоты сравниваемых сигналов равны. Фаза одного из сигналов
колеблется около постоянного значения, равного фазе опорного сигнала. Так как
icp t  ~  t  , то на выходе ФНЧ получим сигнал u LPF t  ~  . Для формирования
сигнала u  t  , определяющего мгновенное значение коэффициента деления БДЧ
nd , используется ДСМ. Отметим, что в данном случае при формировании
высокочастотного сигнала uc t  с постоянной частотой f c значения коэффициента
деления БДЧ изменяются во времени. Следовательно, nd также является
функцией времени, т.е. nd t  . Из теории ДСМ известно, что, если на вход ДСМ
подается сигнал uk t  , то выходной сигнал u t  можно представить в виде
суммы входного сигнала u k t    , задержанного по времени, и случайного
сигнала et  . Появление et  вызвано ошибкой квантования по уровню uk t  . Если
u k t  постоянная величина, т.е. u k t   u k , то и u t  будет иметь постоянное
63
среднее значение u  t   uk . Следовательно, для формирования сигнала с
постоянной частотой необходимо, чтобы u k t  было постоянным. Если требуется
сформировать частотно модулированное колебание, то следует изменять uk t  в
соответствии с требуемым законом модуляции. Оба сигнала u k t  и u t 
цифровые. Такой выбор определяется простотой формирования u k t  в цифровой
форме в виде последовательного или параллельного кода. Сигнал u t  управляет
схемой БДЧ. Разрядность u t  определяется порядком ДСМ. Таким образом,
количество разрядов N цифрового кода uk t  напрямую определяет разрешение
по частоте синтезатора. При формировании колебания с постоянной частотой в
установившемся режиме uk t   uk  B должно выполняться равенство:
B

f c  nd _ fr f ref  nint  n fr  f ref   nint  N  f ref ,
2 

где B – десятичное число, записываемое в модулятор на каждом такте. Значение
N рассчитывается, исходя из требований стандарта к частоте каналов связи и
допусков к частоте несущей.
2.2 Построение модели синтезатора частот без учета шумов
Перейдем непосредственно к построению моделей отдельных блоков
синтезатора. Вначале рассмотрим модели ГОЧ и ГУН. Оба блока могут быть
представлены одной математической моделью. Пусть выходным сигналом обоих
блоков будет синусоида с амплитудой A , частотой  t   2f t  , тогда полная
фаза такого сигнала равна:
t
 t    2f t dt   t   0 ,
0
где  0 – значение фазы в момент времени t  0 . Выражение для выходного
сигнала генераторов будет:
t

u t   A cos  2f t dt   t    0  .
0

64
Таким образом, построение модели сводится к заданию параметра A и законов
изменения  t  ,  t  . Отметим, что ГОЧ работает на фиксированной частоте
ref  2f ref . Начальную фазу положим равной нулю  0  0 . Тогда представим
выражение для сигнала ГОЧ как:
t


u ref t   Aref cos 2f ref t   2f rm t dt   rm t  .


0
В приведенном выражении последние два слагаемых отражают изменение фазы и
частоты формируемого сигнала под действием, каких либо физических
процессов, воздействующих извне или протекающих в генераторе. Подобное
выражение можно составить и для ГУН:
t
t


uc t   Ac cos 2f freet   2f c u LPF t dt   2f cm t dt   cm t  .


0
0
Здесь слагаемое 2f c min t определяет частоту сигнала в момент времени t  0 , т.е.
когда управляющее напряжение u LPF t   0 в момент подачи питания синтезатора.
t
Слагаемое  2f c u LPF t dt определяет зависимость частоты uc t  от управляющего
0
напряжения u LPF t  . Смысл последних слагаемых такой же, как и в выражении для
u ref t  . На рисунках 2.2, 2.3 приведены модели ГОЧ и ГУН в среде Simulink,
построенные на основании полученных выражений. Модуляция частоты и фазы
генерируемых колебаний в обоих случаях реализуется за счет подачи сигналов на
входы «FM» и «PM» соответственно. То есть на входы «FM» ГОЧ и ГУН
t
t
подаются сигналы
 2f t dt
rm
0
и
 2f t dt ,
cm
на входы «PM» –  rm t  и  cm t 
0
соответственно. В зависимости от управляющего сигнала «SW_VCO» выбирается
либо линейная, либо нелинейная модель ГУН. При этом функциональный блок
«F» отвечает за нелинейную зависимость частоты ГУН, блок «A» – за
нелинейную зависимость амплитуды ГУН от управляющего сигнала u LPF t  .
65
Рисунок 2.2 – Модель ГОЧ
Как было отмечено выше, на практике ФД строится на основе D-триггеров.
Соответствующая модель приведена на рисунке 2.4. Одним из недостатков
данного типа ФД является необходимость введения дополнительной задержки в
линию сброса состояний триггеров. Данное требование продиктовано тем, что в
режиме удержания при малых значениях  t  длительность импульсов сигналов
uup t  , udw t  становится малой величиной. Из-за паразитных связей между
внутренними цепями ФД скорость нарастания тока в цепи накачки уменьшается.
Рисунок 2.3 – Модель ГУН
Как следствие амплитуда импульсов сигнала icp t  может не успеть вырасти до
требуемого значения. Что приводит к росту уровня фазовых шумов из-за
изменения динамических характеристик синтезатора частот. Таким образом,
введенная задержка  res должна выбираться из условия достижения амплитуды
icp t  максимального значения в установившемся режиме. С ростом величины  res
увеличивается время длительность импульсов тока цепи накачки. Как следствие,
уровень шумов вносимых источниками тока возрастает. Наряду с этим
увеличиваются амплитуды паразитных гармоник кратных частоте сравнения. Для
учета конечного времени сброса и асинхронности сброса триггеров в модели
66
введены элементы задержки с временами  re sup и  resdn . Изменяя их значения
можно добиться компромисса между уровнем шума и уровнем побочных
гармоник.
Рисунок 2.4 – Модель ФД
Выше
было
отмечено,
что
импульсы
напряжения
uup t  ,
u dw t 
преобразуются ЦН в импульсы тока iup t  , idw t  и после сложения получается
результирующий сигнал icp t  . Длительность импульсов тока и их знак
пропорциональны разности фаз  t  . Модель на рисунке 2.4 позволяет получить
строго прямоугольные импульсы единичной амплитуды. Для преобразования их в
импульсы тока достаточно умножить
uup t  ,
udw t 
на соответствующий
множитель. Обозначим амплитуду icp t  равной I cp , амплитуду uup t  , udw t 
примем равной единице. Тогда iup t  , idw t  можно представить как:
iup t   I cpuup t  ,
idw t    I cpudw t  .
Однако в реальной ЦН за формирование iup t  , idw t  отвечают разные части схемы
ЦН. Как следствие, на формирование iup t  , idw t  будут влиять отличные друг от
друга паразитные элементы. Помимо этого, амплитуды iup t  и idw t  будут
зависеть от u LPF t  . Так, например, амплитуда iup t  по мере приближения u LPF t  к
напряжению питания Vdd будет убывать. Сказанное верно и для idw t  при
67
приближении u LPF t  к нулевому потенциалу схемы. Участок iup t  и idw t  в
области рабочих значений u LPF t  можно заменить линейной функцией от u LPF t  .
Пусть в середине рабочего участка зависимости iup t  и idw t  от u LPF t  амплитуда
тока равна I cp _ 0 . Значение абсциссы в этой точке, т.е. u LPF t  , обычно принимают
равным
u LPF _ 0  0,5Vdd . Тогда, используя выражения для отрезка прямой
проходящей через точку, можно записать выражения для амплитуд iup t  и idw t  :
I up (u LPF , t )   K up u LPF (t )  u LPF _ 0   I cp _ 0 ,
I dw (u LPF , t )  K dw u LPF (t )  u LPF _ 0   I cp _ 0 ,
где K up 
I
k
I
I cp _ up kcp _ up I cp _ 0
, K dw  cp _ dw  cp _ dw cp _ 0 , k cp _ up , k cp _ dw – определяют

u LPF
u LPF
u LPF
u LPF
отклонение амплитуд тока от I cp _ 0 . Обычно на практике I cp _ up , I cp _ dw выражают
в процентах, тогда, например, при I cp _ up равном 5% величина k cp _ up  0,05 . На
рисунке 2.5 приведена модель ЦН с учетом сказанного. Сигналы uup t  , udw t  с
выхода ФД пропускаются через блоки задержки «Del_Iup», «Del_Idw»,
учитывающие время распространения сигналов в плечах схемы реальных блоков
ФД и ЦН и смещения импульсов тока друг относительно друга из-за влияния
паразитных компонентов и инвертора, требуемого для управления ключом тока
накачки iup t  . Фронты реальных импульсов тока имеют конечную длительность,
поэтому прямоугольные сигналы пропускаются через фильтры высоких частот
формирующие передние и задние фронты импульсов. То есть учитывается
динамическая разность токов накачки и разряда. Изменяя порядок и алгоритм
расчета фильтра можно добиться схожести импульсов iup t  и idw t  с реальными
токами в ЦН В завершении, сигналы умножаются на соответствующие
коэффициенты,
определяющие
статическую
разность
токов.
Знак
сформированных сигналов iup t  и idw t  учитывается в сумматоре, стоящем на
выходе схемы.
68
Рисунок 2.5 – Модель ЦН
Блок фильтра нижних частот представляет собой передаточную функцию,
записанную в операторной форме:
TLPF  p  
q1 p  q0
Q p 

.
3
M  p  m3 p  m2 p 2  m1 p  1
Для учета эффекта неравномерного распределения заряда в цепи накачки, токов
утечки ФНЧ и конечного входного сопротивления цепей ГУН фильтр
рассчитывается с учетом эквивалентного сопротивления нагрузки со стороны
ГУН R par  1  10 МОм.
Блок программируемого делителя частоты реализована на основе счетчика.
На вход «VCO» подается выходной сигнал ГУН. На вход «Modulus» требуемое
значение коэффициента деления. То есть, если текущее значение nd  73 , то после
отсчета 73 импульсов uc t  формируется сигнал сброса счетчика. Этот же сигнал
подается
на
вход
ФД,
предварительно
пройдя
через
блок
«Del_div»,
определяющий вносимую делителем задержку  div . Так как длительность
импульсов на выходе такой схемы равна периоду сигнала ГУН, то вводится
дополнительный компаратор и RS-триггер для увеличения длительности
69
импульса на время кратное периоду сигнала ГУН. Полная структура блока
делителя представлена на рисунке 2.6.
Рисунок 2.6 – Модель БДЧ
Модель блока ДСМ определяется типом и порядком модулятора. Ниже на
рисунке 2.7 приведена модель MASH-модулятора третьего порядка, построенная
на основе аккумуляторов. На вывод «CLK» подается тактовый сигнал, в качестве
которого обычно используется выходной сигнал делителя частоты u d t  . Вывод
«SUM» подключается к входу сумматора и далее на вывод «Modulus» БДЧ.
Управляющий сигнал u k t  подается в виде параллельного цифрового кода на
выводы «X1», …, «X8».
Рисунок 2.7 – Модель ДСМ
70
2.3 Особенности построения моделей блоков синтезатора с учетом шумов
Все подходы к моделированию фазовых шумов можно разделить на два
типа. Первый основывается на построении модели физического процесса,
протекающего в системе. Второй способ основывается на макропараметрах
моделируемого процесса. Изложенный ниже подход относится к последнему
типу. В качестве макропараметров выступают спектральная плотность мощности
и дисперсия процесса. Спектры сигналов реальных опорных генераторов и ГУН в
отличие от идеальных моделей содержат фазовые шумы. Для оценки фазовых
шумов генераторов используется величина L f m  , определяемая как отношение
мощности шума в единичной боковой полосе частот выходного сигнала uc t  при
отстройке на частоту
f m от несущей к полной мощности сигнала
Ps .
Односторонняя плотность мощности L f m  имеет размерность дБн/Гц. На основе
формулы Лисона для одностороннего спектра мощности фазовых шумов:
  FkT  1 f c2

 2
L f m    
 1 ,
2
 f m 2 Ps  f m 4QU

где  – константа, определяемая характером фликкер шума и равная примерно
единице, f m – частота отстройки от несущей, F – коэффициент шума усилителя в
модели Лисона, k – постоянная Больцмана, T – абсолютная температура, Ps –
мощность сигнала на входе усилителя (т.е. мощность высокочастотного сигнала
генератора), QU
– собственная добротность резонансного контура в цепи
обратной связи усилителя. Приведем выражение к следующему виду:
2
f fn
FkT 
1 f c2
1 f c f fn 
1 
  L1  L2  f m   L3  f m   L4  f m  ,
L( f m ) 


2 Ps 
f m f m2 4QU2 f m3 4QU2 
где
f fn  2 Ps / FkT
–
частота,
определяемая
пересечением
(2.1)
асимптот,
соответствующих спектральным плотностям белого и фликкерного шума,
значение  выбрано равным единице. Так как выражение (2.1) содержит четыре
слагаемых, то, в общем случае, требуется четыре генератора шума. Ниже
рассмотрена модель «шумящего» генератора, основанная на модуляции фазы и
частоты
сигнала
uc t 
таким
образом,
чтобы
спектральная
плотность
71
результирующего
колебания
совпадала
со
спектральной
плотностью,
определяемой выражением (2.1). Спектральная плотность мощности S  f m  фазы
сигнала uc t  относится к L f m  , как:
L f m  
при
S  f m 
,
2
2
S  f m   10 log( rms
)
этом
и
имеет
размерность
дБрад2/Гц,
 rms
–
среднеквадратичное значение фазы сигнала. Для формирования составляющей
фазового шума сигнала uc t  , соответствующей слагаемому L1 в выражении (2.1),
необходимо провести модуляцию фазы uc t  случайным сигналом с нулевым
средним и дисперсией, равной:
f
f
0
0
   S _ 1  f m df   2 L1df  2 L1f 
2
1
Подразумевается,
что
случайный
FkT f s
.
Ps 2
сигнал
дискретный
и
вся
мощность
сосредоточена в полосе f  f s / 2 , где f s – частота дискретизации. Величину
L2  f m  представим как результат прохождения случайного сигнала через фильтр с
передаточной функцией T1  f m  :
2
L2  f m   T1  f m 
2
 1  FkT

L 
f .
 f  2 P fn
s
 m
*
2
В работе [2.13] показано, что цифровой фильтр с передаточной функцией,
пропорциональной 1 /
H z  
где
z  e j 2fTs ,
f m , будет иметь вид:
1
,
1  z 1 1/ 2
Ts  1 / f s .
Прямая
(2.2)
реализация
такого
фильтра
физически
неосуществима. Однако можно перейти от переменной z 1 к z и разложить в ряд
Тейлора выражение для H  z  в области z0  0 . После вернуться обратно к
переменной z 1 . В этом случае выражение для H  z  примет вид:
1
1 / 2(1 / 2  1) 2
H  z   1  z 1 
z  ...  1  h1 z 1  h2 z 2  ...
2
2!
(2.3)
72
Отметим, что выражение вида (2.3) соответствует передаточной функции
трансверсального
или
КИХ-фильтра.
Коэффициенты
фильтра
hk
можно
рассчитать, используя следующий рекурсивный алгоритм:
h0  1
1
h
hk    k  1 k 1 ,
2
 k
k  1, 2, 3, ...
Если разложение провести только для числителя, то получим другое выражение
для H  z  :
H z  
1
1
.

1
2
1 1 1 / 2(1  1 / 2) 2
1

a
z

a
z

...
1
2
1 z 
z  ...
2
2!
(2.4)
Коэффициенты ak рассчитываются согласно следующему алгоритму:
a0  1
1a

ak   k  1   k 1 ,
2 k

В этом случае
k  1, 2, 3, ...
H  z  соответствует передаточной функции БИХ-фильтра.
Отметим, что при частотах левее 1 / max(k ) / Ts характеристика H  z  имеет
пологий участок. Отсюда следует, что аппроксимируемый участок зависимости
1/
f m имеет ограничение сверху f m _ max и снизу f m _ min :
f m _ max  0.5 f s
f m _ min  f s / max(k ) .
На рисунке 2.8 представлены графики передаточной функции, построенные на
основе разложений 2.2, 2.3 и 2.4. График зависимости 1 /
f m будет лежать ниже.
Отличие значений коэффициента передачи построенного фильтра от идеального
компенсируется введением нормирующего множителя.
Таким образом, на выходе такого фильтра формируется фликкерный шум. В этом
случае дисперсия фазы  22 на входе фильтра будет равна:
f
 22   2 L*2 df 
0
f
FkT
f fn s .
Ps
2
73
Рисунок 2.8 – Модуль квадрата передаточной функции, описываемой
выражениями 2.2, 2.3 и 2.4 соответственно
При расчете величин  32 и  42 поступим по аналогии с предыдущим случаем.
Тогда, для L3  f m  и L4  f m  запишем:
L3  f m   T2  f m 
2
2
 1  FkT f c2
*
L3   
,
2
f
2
P
4
Q
 m
s
U
L4  f m   T2  f m  T1  f m 
2
2
2
2
 1   1  FkT f c2

L    
f fn .
2


 f m   f m  2 Ps 4QU
*
4
Выражения для L3  f m  и L4  f m  содержат множитель 1 / f m2 , что эквивалентно
процессу модуляции частоты uc t  сигналами, соответствующими L*3 и T1  f  L*4 .
2
Тогда величины  32 и  42 составят:
f
 32   2 L*3 df 
0
f
 42   2 L*4 df 
0
FkT f c2 f s
,
Ps 4QU2 2
fs
FkT f c2
.
f
fn
2
Ps 4QU2
Возвращаясь к рисунку 2.3 отметим, что сигналы с дисперсиями  12 и  32
подаются непосредственно на входы «PM» и «FM» соответственно. Сигналы с
дисперсиями
 22
и
 42
предварительно
пропускаются
через
фильтр
с
передаточной функцией T1  f m  и только потом подаются на входы «PM» и «FM».
74
На рисунке 2.9 представлен вид блока формирования шумов для случая, когда
формируются только шумы вида  12 ,  32 и  22 .
Рисунок 2.9 – Модель блока формирования шумов
Оценим уровень фазовых шумов, вносимых отдельными блоками синтезатора.
Считаем, что характеристики блоков синтезатора линейны и система находится в
режиме удержания. Обозначим через I cp среднее значение амплитуды токов
накачки и заряда. Выше было отмечено, что выходные сигналы ФД и ЦН
дискретные. Однако в предположении малости отношения полосы пропускания
ФНЧ к частоте опорного сигнала общую характеристику блоков ФД и ЦН можно
считать непрерывной функцией фазовой ошибки  t  . При этом средний ток,
протекающий через ФНЧ между двумя последовательными тактами
f ref ,
представим отношением I cp к  t  . Тогда коэффициент передачи фазового
детектора и цепи накачки TPD равен:
TPD 
I cp
А/рад.
2
Обозначим напряжение питания через Vdd В, минимальное значение требуемой
перестройки по частоте – f Гц Тогда крутизна характеристики перестройки
ГУН составит:
TVCO 
f
Гц/В.
Vdd
75
С учетом сказанного, определим передаточную функцию замкнутой петли ФАПЧ
в операторной форме как:
Tcl ( p) 
VCO ( p )
TPDTLPF ( p)TVCO

,
 ref ( p ) p  TPDTLPF ( p )TVCO / nnom
(2.5)
где  ref ( p ) ,  VCO ( p) – полные фазы сигналов опорного генератора и ГУН,
TLPF ( p) – передаточный импеданс ФНЧ, nnom – эквивалентный коэффициент
деления блока делителя частоты, принимающий дробное или целое значение в
зависимости от отношения центральной частоты ГУН f c и опорного генератора
f ref . По аналогии определим передаточную функцию ФД на выход:
Terr ( p ) 
VCO ( p )
1

, (2.6)
 e ( p ) 1  TPD H LPF ( p )TVCO / nnom / p
где  e ( p) – полная фаза разностного сигнала. На основании (2.5) уровень
фазовых шумов на выходе петли ФАПЧ, вносимых опорным генератором
составит:
2
L _ ref ( f m )  Tcl ( f m ) Lref ( f m ) ,
(2.7)
где Lref ( f m ) – односторонняя спектральная плотность фазовых шумов опорного
генератора. Спектральная плотность фазовых шумов на выходе петли, вносимых
ГУН, определяется выражением:
2
L _ vco ( f m )  Terr ( f m ) Lvco ( f m ) ,
(2.8)
где Lvco ( f m ) – односторонняя спектральная плотность фазовых шумов ГУН. В
модели используется схема Δ-Σ модулятора, предложенная в работе [2.14].
Проведем расчет шумов, вносимых модулятором. Пусть на вход фазового
детектора поступают сигналы опорного генератора и ГУН, при этом фазы
сигналов обозначим через  ref (t ) и  d (t ) соответственно. Образованная на выходе
детектора разность фаз e (t ) будет определяться выходным сигналом модулятора.
Так как сравнение фаз сигналов производится в дискретные моменты, для
дальнейшего анализа перейдем к дискретным отсчетам рассматриваемых
функций. Считаем, что моменты отсчетов совпадают с передним фронтом
76
импульсов опорного сигнала с периодом Tref . Приращение  e (l ) на l -том
интервале времени составит:
 e (l )   ref (l )   d (l )  2
T
ref
 Td (l ) 
,
Tref
(2.9)
где Td (l ) – период сигнала БДЧ. Считаем частоту сигнала ГУН постоянной, тогда
Td (l ) представим в виде:
Tdiv (l )  Tc nnom (l )  Tc (nint  n(l )) 
Tref
(nint  n fr )
(nint  n(l )) .
(2.10)
Подставим (2.9) в (2.10) и после преобразования получим выражение:
 e (l ) 
2
n fr  n(l ) .
(nint  n fr )
(2.11)
Для расчета значения  e (l ) в момент сравнения l необходимо учесть значения
 e (l ) в предыдущие моменты времени. Для этого необходимо просуммировать
обе части (2.11) с начального момента m до текущего l :
l
2
n fr  n(m).
m 1 ( nint  n fr )
l
  e (m)  
m 1
(2.12)
Далее рассчитаем суммы обоих частей выражения (2.12), положив нулевые
начальные условия. В результате выражение (2.12) примет вид:
 e (l ) 
l
2
 n fr  n(m)  .
(nint  n fr ) i 1
(2.13)
Исходя из линейной схемы модулятора, n(m) представим в виде:
n(m)  n fr  edsm (m) ,
где edsm (m) – шум квантования. С учетом этого перепишем выражение (2.13):
 e (l ) 
Из
l
2
 edsm (m)  .
(nint  n fr ) i 1
выражения (2.14)
следует, что
(2.14)
 e (l )
равна интегралу
от
edsm (m) .
Действительно, частота сигнала БДЧ является функцией времени. Следовательно,
 div (t ) пропорционально интегралу от частоты. Далее выражение (2.14) удобно
77
рассмотреть в z -области. При этом учтем, что шум квантования Edsm (z ) Δ-Σ
модулятора s -го порядка в z -области определяется выражением:
Edsm ( z )  e(1  z 1 ) s ,
где e – ошибка квантования. С учетом сказанного, выражение (2.14) примет вид:
 e ( z) 
2
z 1
2
Edsm ( z ) 
z 1e(1  z 1 ) s 1 . (2.15)
1
(nint  n fr ) (1  z )
(nint  n fr )
Минимальный шаг изменения коэффициента деления nd (k ) равен   1 . В
предположении, что e случайная величина, равномерно распределенная в
интервале   / 2,  / 2 с нулевым средним, дисперсию e рассчитаем как:
e
2
rms
2 1
1 /2 2

 e de  12  12 .
  / 2
При условии, что мощность e сосредоточена в интервале частот [0, f s ( f ref ) / 2] ,
спектральная плотность e равна:
S dsm  f  
2e 2
rms
f ref

1
.
6 f ref
(2.16)
Выполнив переход в выражении (2.15) из z -области в частотную область и
подставив (2.16), получим следующее выражение:
2
 j 2 fT
 2
2e
 j 2 fT
(1  e
) s 1 S dsm ( f )  
e ( f ) 
(nint  n fr )
 nint
ref
ref
2
 1

2 sin fTref  2s1 .
 6 f ref
Окончательно для спектральной плотности мощности фазового шума на выходе
ГУН получим:
 2
S _ dsm ( f m )  
 nint
2
 1

2 sin f mTref 2s1 Tcl ( f m ) 2 .
 6 f ref
Выражение для односторонней спектральной плотности L _ dsm ( f m ) равно:
 2
L _ dsm ( f m )  
 nint
2
 1

2 sin f mTref 2s1 Tcl ( f m ) 2 .
 12 f ref
2.4 Анализ паразитных спектральных составляющих
(2.17)
78
Выше была представлена модель синтезатора и дана оценка спектрального
состава выходного сигнала ГУН uc t  с точки зрения стохастических процессов,
протекающих в системе. Однако спектр uc t  , в общем случае, будет содержать и
дискретные гармоники. Основных причин две: просачивание опорного сигнала на
вход ГУН и формирование дробного коэффициента деления. В первом случае
наличие задержек в ФД и ЦН и нелинейность характеристики ГУН приводят к
появлению гармоник с частотами:
f p  f c  kf ref ,
k  1, 2, 3, ...
Во втором случае в процессе формирование uc t  с постоянной частотой f c
значение uk t  остается неизменным, что требуется выражением u  t   u k . Однако
вследствие ограниченности разрядов и особенности принципа работы ДСМ
последовательность
u  t 
становится
периодичной.
Фазовая
ошибка
 e (t )   ref (t )   d (t ) и u LPF t  будут также периодичны, и колебаться около нуля и
u LPF t   const соответственно. При этом частоты паразитных гармоник зависят от
значения uk и разрядности модулятора.
Для оценки величин амплитуд гармоник в первом случае воспользуемся
следующей методикой [2.15]. Считаем, что формируется целочисленный
коэффициент деления. Тогда остаточная разность фаз в режиме удержания
преимущественно будет определяться разностью токов накачки iup t  и разряда
idw t  , задержкой в блоке ФД  res и задержкой  tr
в блоке ЦН. Здесь не
учитываются токи утечки и эффект распределения заряда между выводами
ключей цепи накачки и выводом емкости, служащей нагрузкой для цепи накачки.
Однако изложенный принцип легко распространяется и на эти случаи. На рисунке
2.10 представлены временные зависимости сигналов синтезатора частот. Через
 comp обозначен сдвиг фаз, вносимый петлей ФАПЧ, для компенсации неравенства
амплитуд токов iup t  и idw t  . Сигнал icp (t ) определяет ток, поступающий на вход
ФНЧ. Исходя из периодичности сигнала icp (t ) , рассчитаем коэффициенты
комплексного ряда Фурье:
79
Cn 
1
Tref
Tref
i
cp
(t )e
inref t
dt .
(2.18)
0
Для краткости ограничим рассмотрение только первой C1 и второй C2
гармониками с частотами f ref и 2 f ref соответственно. Для C1 получим выражение
на основании (2.18):
1
C1 
Tref

 I dw e
 comp  gate
 comp  AND
 comp  AND  gate


inref t
inref t
inref t
 ( I )

e
dt

(
I

I
)
e
dt

I
e
dt
up
dw
up
0


 dw

 comp  gate
 comp  AND




ref  tr  comp i
i  I up e


  ref  res  comp i
i  I up e


  ref  res  comp  tr i
i  I dw i
Tref  ref
,
(2.19)
где I up , I dw – амплитуды iup t  и idw t  соответственно. Учитывая малость токов I up и
I dw , потребуем равенство площадей под верхней и нижней частями графика inet (t ) .
Тогда в режиме удержания значение  comp равно:
 comp 
 AND I up  I dw 
I dw
.
(2.20)
Рисунок 2.10 – Эпюры напряжений в узлах синтезатора частот
В результате подстановки (2.20) в (2.19) мощность паразитной гармоники
составит:
 2 C1 2 
,
PC1  10 log
 2 


PC 2
 2 C 2
 10 log
 2


2

.


80
Далее требуется определить значение передаточной функции ФНЧ TLPF ( p) на
частотах f ref и 2 f ref . Расчет амплитуд паразитных гармоник в выходном спектре
сигнала ГУН произведем из предположения малости 2 C1 , 2 C2 и линейности
характеристики ГУН. Тогда, используя принцип суперпозиции, рассмотрим
воздействие синусоидальных сигналов с амплитудами 2 C1 , 2 C2 и частотами f ref
и 2 f ref на управляющий вход ГУН по отдельности. Представим выходной сигнал
ГУН как:


u c (t )  Ac cos  c t  TVCO 2  u LPF (t )dt 

2 Cn
 Ac cos c t  cos TVCO 2

2nf ref



2 Cn
  Ac sin  c t sin  TVCO 2


2nf ref



.


Так как
TVCO 2
2 Cn
2nf ref
 1 рад, то
u c (t )  Ac cos c t   TVCO 2
2 C n Ac
2nf ref
cos
c
 n ref t   cos c  n ref t 
Окончательно запишем для U c1 и U c 2 :
2

H LPF  ref  2 C1 Ac  1 


,
PUc1  10 log TVCO

 2 
f ref

 

PUc 2
2

H LPF  ref  2 C1 Ac  1 


.
 10 log TVCO
 2 

2 f ref

 

(2.20)
(2.21)
Помимо гармоник, кратных частоте сравнения, спектр сигнала ГУН
f p  f c1  mf m ,
где
содержит паразитные составляющие на частотах
m  1, 2, 3, ... [2.16].
гармоники
на
Спектр
частотах
u LPF (t )
mf m .
содержит
Появление
постоянную
паразитных
составляющую
гармоник
и
вызвано
периодичностью выходного сигнала модулятора U  (t ) . Для расчета
fm
необходимо определить период выходного сигнала каждого аккумулятора,
поскольку период сигнала модулятора будет определяться максимальным из
периодов сигналов аккумуляторов. Период T1 сигнала первого аккумулятора
рассчитывается согласно выражению:
81
kz clk  z1 _ ovf amax  0 ,
(2.22)
где z clk количество тактов u d (t ) , z1 _ ovf - количество переполнений аккумулятора.
Перепишем выражение (2.22) в виде:
k
a max

z1 _ ovf
k

.
N
z clk
2
(2.23)
Согласно выражению (2.23) значение T1 для нечетных k равно:
T1  Td z clk  Td 2 N ,
(2.24)
где Td - период сигнала u d (t ) , примерно равный Tref в режиме удержания. При
этом z1 _ ovf  k . Для случая четных k представим k  k 2 L , где k  - не кратный 2
множитель. Период T1 составит:
  Td 2 N  L .
T1  Td z clk
(2.25)
Тогда, количество переполнений аккумулятора z1_ ovf  k  . Для расчета периода T2
сигнала второго аккумулятора необходимо рассчитать сумму чисел, записанных в
него за T1 или T1 . Рассмотрим случай нечетных k . Так как первый аккумулятор
суммирует одинаковые числа, то на выходе образуется сигнал, который до
момента переполнения можно представить в форме последовательности членов
арифметической прогрессии. Тогда, сумма чисел, записанных во второй
аккумулятор за T1 , составит:
z  1 a
a z
A1  n clk  clk
max
z1 _ ovf
2
zovf 1
i ,
i 1
где an - n -ый член арифметической прогрессии. Первое слагаемое представляет
сумму членов арифметической прогрессии, второе слагаемое – вносит поправку,
учитывающую переполнение аккумулятора. Составим выражение для
определения T2 аналогично (2.23), тогда:
A1
2 N 1
.
 z 2 _ ovf 
amax
2
(2.26)
В результате подстановки выражений (2.24) или (2.25) в (2.26) z 2 _ ovf будет иметь
дробное
значение.
Следовательно,
Однако
требуется
z 2 _ ovf
становится
дополнительно
z clk
целым
при
тактов,
удвоении
чтобы
A1 .
значение
аккумулятора вернулось к исходному. Таким образом, период T2 равен T2  2T1 .
Дальнейший анализ показывает, что период T3 выходного сигнала третьего
аккумулятора также равен 2T1 . Таким образом, определим f m :
fm 
1
1
1
1


( k - нечетное), f m 
( k - четное)
N
2T1 2Td 2
2T1 2Td 2 N  L
(2.27)
82
2.5 Методика расчета фильтра нижних частот
На практике широко используются активные или пассивные ФНЧ второго и
третьего порядка. Порядок фильтра выбирается из заданного уровня подавления
побочных гармоник на частотах, кратных f ref , порядка ДСМ и требуемого уровня
подавления шумов блоков устройства. Предполагается использовать ДСМ
третьего порядка, поэтому для дальнейшего расчета выберем пассивный фильтр
третьего порядка. В работах [1.18, 2.18–2.20] представлены различные подходы к
расчету ФНЧ, состоящие из двух этапов. На первом этапе рассчитываются
параметры фильтра второго порядка. Параметры фильтра третьего порядка
рассчитываются на основе результатов предыдущего расчета. Далее поступим по
аналогии. На рисунке 2.11 представлена структура пассивного ФНЧ третьего
порядка. Пусть требуется рассчитать ФНЧ третьего порядка для петли ФАПЧ с
заданной частотой 3дБ среза по уровню минус 3 дБ. Тогда обозначим через  z
частоту единичного усиления коэффициента передачи Top ( p ) разомкнутой петли
ФАПЧ. Обе частоты связаны следующим выражением:
 z  0,707 3дБ
Запишем выражение Top ( p ) для фильтра второго порядка:
Top ( p) 
TPDTVCO
T T
pR2 C 2  1
H LPF ( p)  PD VCO 2
,
pnnom
pnnom p C1C 2 R2  pC1  C 2 
(2.28)
где nnom   f c _ min  f / f ref . Обозначим постоянную времени, соответствующую R2 C 2 ,
через  2 . В знаменателе вынесем множитель, стоящий при p . Перезапишем
выражение (2.28) в виде:
Top ( p) 
TPDTVCO
T T
p 2  1
  p 2  1
 2PD VCO 1
,
2
pnnom C1  C2  p C1 2 / C1  C 2   p
p nnom C1  2  p 1  1


где  1  C1 2 / C1  C2  . Таким образом, Top ( p) имеет полюс второго порядка в начале
координат, один простой ноль и один простой полюс. Запишем выражение для
фазы Top ( p) :
 op ( )  arctg ( 2 )  arctg ( 1 )   .
83
Наличие полюса второго порядка приводит к постоянному сдвигу фазы на   .
Следовательно, простой полюс должен находиться правее простого нулю на оси
частот. В результате ФЧХ Top ( p ) будет иметь экстремум – максимум. Такое
поведение ФЧХ будет свойственно и передаточным функциям более высокого
порядка. Система будет в заведомо устойчивом состоянии, если потребовать,
чтобы частота единичного усиления Top ( p ) соответствовала максимуму ФЧХ
Top ( p ) . Соответствующий запас по фазе на частоте max   z составит:
 op (3 dB )  arctg ( 2 )  arctg ( 1 ) .
(2.29)
Производная от  op ( ) по частоте:
d  op ( )
d

2
1
.

2
2
1   2  1   1 
Из равенства нулю производной на частоте  z следует:
z 
1
 1 2
.
(2.30)
Рисунок 2.11 – Структура ФНЧ третьего порядка
Выразим  1 через  op ( 3dB ) и  z . Для этого возьмем тангенс от двух частей
(2.29) и, учитывая (2.30), получим квадратное уравнение относительно  1 :
 z2 12  2tg  op  z 1  1  0 .
При
выводе
решения
учтем,
что
tg
2
    1  sec   
2
op
положительная величина. Окончательно запишем:
1 
sec op   tg  op 
z
.
op
и
1
–
84
Откуда на основании (2.30) рассчитываем  2 . Значение C1 , C2 , R2 получим из
равенства:
Top ( max )  1 ,


C2  C1  2  1 ,
 1 
R2 
2
C2
.
Выражение для Top ( p ) ФНЧ третьего порядка запишем как.
Top ( p ) 
TPDTVCO
pR2 C 2  1
.
2
2
p nnom p C1C 2 C3 R2 R3  pC 2 R2 C1  C3   C3 R3 C1  C 2   C1  C 2  C3
Введенный полюс может быть использован только для подавления гармоник на
частотах близких f ref . В противном случае при приближении  3 к  1 условие
устойчивости будет нарушено. АЧХ Top ( p ) останется практически неизменной в
области частот до  z . ФЧХ, напротив, будет спадать быстрее. Как следствие
частота максимума  z и значение  op ( z ) будут уменьшаться. Таким образом,
условие запаса по амплитуде или по фазе будет нарушено. Поэтому величину
1 /  3 необходимо брать примерно в пять раз больше, чем  z . Отсюда следует
целесообразность смещения полюса, соответствующего  2 левее по оси частот.
Тогда максимум АЧХ Top ( p ) будет достигнут на новой частоте  z . Численно
найти  z можно путем графического построения аргументов числителя и
знаменателя Top (i ) . В качестве переменного параметра здесь выступает  2 .
Прямое решение для ФЧХ Top (i ) затруднительно в связи с наличием двух
неизвестных величин  z и  2 , которое будет больше, чем  2 . Тогда поступим
следующим образом. Обозначим  3  C3 R3 , учтем предыдущие обозначения,
запишем выражение для Top ( p ) :
Top ( p ) 

TPDTVCO
pR2C2  1

p 2 nnom p 2C1 2 3  p 2 C1  C3    3 C1  C2   C1  C2  C3
TPDTVCO
1
pR2C2  1
.
2
2
p nnom C1  C2  p  1 3  p 2 C1  C3  / C1  C2    3   C1  C2  C3  / C1  C2 
Так как  3 должен быть меньше  1 и  2 , то можно пренебречь C3 . Тогда:
85
Top ( p ) 
TPDTVCO  1
T T 
 p 2  1 .
pR2C2  1
 PD2 VCO 1
2
2
p nnom  2 p  1 3  p 1   3   1 p nnom  2  p 1  1 p 1  1
(2.31)
Далее потребуем равенства аргументов числителя в выражениях (2.28) и (2.31):
argi z 1  1i z 3  1  argi z 1  1 ,
      
arctg  z 1 2 3   arctg  z 1 .
 1   z  1 3 
На основании данных выражений получим квадратное уравнение относительно
 z :
 12 3 z z 2   1   3  z   z 1  0 .
Решение примет вид:
 z 
  1   3  
   
2
1
3
 4 13 3 z2
2 12 3 z
.
Здесь учтено, что  1 ,  3 и  z не отрицательные. Далее рассчитываем  2 из
равенства аргументов знаменателей (2.28) и (2.31):
 2 
 z 2
.
 z
Из равенства Top ( z )  1 находим значение C1 :
C1 
TPDTVCO  1
 z 2 nnom  2
     1
     1   
2
z
2
z
2
2
2
1
2
z
3
2
 1
.
(2.32)
Значения C2 R2 составят:
  
C2  C1  2  1 ,
 1 
R2 
 2
C2
.
(2.33)
(2.34)
Обычно C3  0.1C1 , тогда положим, что:
C3  0.1C1 .
Значение R3 рассчитаем как:
(2.35)
86
R3 
3
0.1C1
.
(2.36)
Дополнительное подавление на требуемой частоте, вносимое новым полюсом,
можно записать как:
Tatt  10 lg 32 att2  1 .
2.6 Методика синтеза схем синтезатора частот
2.6.1 Обобщенная методика расчета
Выше были показаны основные подходы к анализу синтезаторов частот в
символьном
виде.
Задача
синтеза
осложняется
тем,
что
устройство
характеризуется различными параметрами как во временной, так и в частотной
областях.
Отсутствие
максимумов
в
функциональных
зависимостях,
описывающих работу устройства от параметров, ограничения на значения
характеристик
устройства
заданной
спецификации
и
возможностями
компонентной базы усложняют процесс разработки. В связи с этим ниже
предлагается процедура расчета синтезатора частот по совокупности требований
на время перестройки, уровень фазовых шумов и нелинейных искажений.
Особенность процедуры заключается в использовании параметров модели BSIM,
что позволяет рассчитать основные параметры системы.
Шаг 1.
Задаются
f  f max  f min ,
значения
набор
напряжения
частот
питания
формируемых
Vdd ,
колебаний
диапазон
частот
f c _ 1 , f c _ 2 ,.., f c _ s ,
максимальное время перестройки по частоте t LK , уровень фазовых шумов S при
заданной отстройке по частоте f phn от частоты несущего колебания.
Шаг 2. Рассчитывается максимальная крутизна характеристики ГУН TVCO :
TVCO 
f
,
Vdd  2lVgsteff
где параметр l равен двум для каскодной схемы и единице для схемы источника
тока на одном транзисторе в цепи накачки. Параметр Vgsteff – эффективное
87
значение разницы напряжений между затвором и истоком Vgs и напряжением
пороговым напряжением отпирания МОП транзистора Vth .
Шаг 3. Проводится предварительный расчет ГУН со следующими входными
данными: f , TVCO , диапазон сигнала управления V  Vdd  lVgsteff , Vdd . В
результате дается оценка фазовых шумов ГУН SVCO ( f ) .
Шаг 4. Рассчитывается минимальная полоса пропускания петли ФАПЧ f 3дБ по
уровню минус 3 дБ согласно выражению:
f 3дБ 
4
t LK
.
Шаг 5. Определяются доминирующие источники шума в системе на основании
f 3дБ , S и f phn . Всего выделяется четыре возможных случая.
1) f 3дБ  f phn ,
S   SVCO .
Увеличение
значения
f 3дБ
не
требуется.
Доминирующими считаются источники шумов других блоков синтезатора,
например, дельта-сигма модулятора, цепи накачки, резисторы в пассивном или
внутренние шумы фильтре в активном фильтре.
2) f 3дБ  f phn , S   SVCO . В этом случае необходимо увеличить значение
f 3дБ , либо провести перерасчет параметров ГУН.
3) f 3дБ  f phn , S   SVCO . Увеличение значения f 3дБ не требуется. Задача
аналогична случаю 1).
4) f 3дБ  f phn , S   SVCO . Необходимо оценить уровень шумов согласно
выражению:
  SVCO  40 log(
SVCO
f 3дБ
).
f phn
  S , то ситуация аналогична
Здесь учитывается ФНЧ второго порядка. Если SVCO
  S , необходимо увеличить значение f 3дБ , либо
1). В противном случае, т.е. SVCO
повысить порядок фильтра до третьего при условии, что частота среза f 3дБ _ RC
вводимой RC цепочки как минимум в пять раз больше f 3дБ .
88
Шаг 6. Определяются предельные значения для частоты опорного сигнала f ref и
f 3дБ _ RC из следующих соотношений:
f 3дБ  0,1 f ref ,
5 f 3дБ  f 3дБ _ RC  0,1 f ref .
Шаг 7. Рассчитывается значение f ref из равенства спектральной плотности
мощности шума квантования S _  и S , вносимого ДСМ, в соответствии с
выражением:
(2 ) 2 (2f phn ) 2 ( m1)
f ref 
2 m 1
 f
12S  phn
 f 3дБ



4
,
где параметр m равен двум для модулятора второго порядка и трем для
модулятора третьего порядка. Если f 3дБ  f phn , то в качестве f phn и S задаются
типичные значения для предполагаемой области применения.
Шаг 8. Рассчитываются коэффициенты деления для набора частот формируемых
колебаний согласно как:
nd _ fr _ s  nint_ s  n fr _ s 
fc _ s
f ref
,
где s – порядковый номер частоты несущего колебания.
Шаг 9. Рассчитывается разрядность модулятора из следующего условия:
1
 min[n fr _ s ] .
2N
Шаг 10. Рассчитываются частоты паразитных гармоник формируемых ДСМ
согласно (2.27):
fm _ s 
1
( k - нечетное),
Tref 2 N 1
fm _ s 
1
( k - четное),
Tref 2 N L1
где k – рассчитывается для каждой формируемой частоты как:
89
n fr _ s 
k
.
2N
Величина L – степень кратности k двум, т.е.:
k  k 2 L
Шаг 11. Рассчитанные значения f m _ s сравниваются с f 3дБ . Если отношение
f m _ s / f 3дБ менее 10–20 раз, то вероятно будет необходимо вводить случайную
динамическую добавку к сигналу управления ДСМ для уменьшения уровня
паразитных гармоник. В общем случае требуется проведение моделирования на
функциональном уровне.
Шаг 12. Проводится оценка уровня фликкер шума в полосе f 3дБ , вносимого
цепью накачки согласно выражению:
S cp ( f ) 
N oia  vt ( f c _ s   imp ) 2
Weff  Leff  f
Ef
 4  10 36
,
где  imp – время включения цепи накачки в режиме удержания, Noia , E f –
параметры модели BSIM МОП транзистора, vt – тепловой потенциал. Типичные
значения  imp 200–500 пс. В результате рассчитывается минимальное отношение
Weff Leff транзисторов, используемых в качестве источников тока.
Шаг 13. Задается
Leff , рассчитывается значение Weff
и рассчитывается
минимальный ток цепи накачки как:
I cp  1,1  0,9  Cox   0
Weff
Leff
Vgsteff Vds ,
где Vds – напряжение сток-исток МОП транзистора, примерно равное Vgsteff .
Шаг 14. Рассчитываются номиналы элементов ФНЧ на основании следующих
рассчитанных параметров: TVCO , nd _ fr _ s , f 3дБ , f ref , I cp .
Шаг 15. Проводится расчет уровня первой паразитной гармоники на частоте
f c _ s  f ref как доминирующей:
90

H LPF  ref  2 C1
Pc1   TVCO

f ref

2
 1
 ,
 2

где 2 C1 определяется выражением (2.19). Сравнивается с заданным уровнем. При
превышении допустимого значения повышается порядок фильтра до третьего,
либо повышается частота f ref .
Далее для проверки и уточнения результатов расчета проводится моделирование
на функциональном уровне и проводится сравнение с данными спецификации.
При необходимости вводится корректировка. После этого разрабатываются блоки
синтезатора частот на уровне схемотехники, и проводится моделирование на
уровне схемотехники.
2.6.2 Методика расчета цепи накачки
Величина тока накачки влияет на шумовые и временные характеристики
петли ФАПЧ. Следовательно, расчет блока цепи накачки проводится из условия
обеспечения заданного тока I cp и максимального отклонения I cp на краях
диапазона U LPF . Согласно рисунку 2.10 и выражению (2.20) значения I cp ,  res и
 tr влияют на уровень паразитных гармоник на частотах, кратных f ref . На
практике значение I cp выражается в процентах и составляет обычно ±(5–10)% от
номинального. Значение  res ограничено сверху требуемым уровнем шума цепи
накачки и снизу линейностью общей характеристики блоков ФД и ЦН. Ввиду
того, что  res обычно много меньше Tref ,  res задают чуть большим, чем время
установления I cp . В работе [2.21] представлен обзор основных подходов к
разработке цепей накачки. В общем, выделяются следующие четыре типа
устройств, представленные на рисунках 2.12, 2.13. Устройства на рисунках 2.12а,
2.12б характеризуются отсутствием постоянного тока на выходном зажиме, когда
оба ключа разомкнуты. Транзисторы M 1 , M 2 выполняют роль источников тока.
Недостатком обоих схем является необходимость согласования источников тока
на основе транзисторов n-типа и p-типа.
91
Рисунок 2.12 – Цепи накачки с не дифференциальным выходом
Помимо этого, если какой-либо из ключей на схеме 2.12а разомкнут, то
потенциалы на стоках M 1 и M 2 будут равны потенциалу земли и питания
соответственно. Транзисторы переходят в линейный режим. В момент замыкания
ключа из-за действия паразитных емкостей транзисторы будут оставаться в
линейном режиме какое-то время. Как следствие ток заряда или разряда будет
изменяться скачкообразно, что прежде всего приводит к увеличению эффекта
просачивания опорного сигнала на вход ГУН. В общем, схемы позволяют
обеспечить низкий уровень потребляемого тока. Наличие транзисторов p-типа
накладывают ограничения на область рабочих частот и крутизну фронтов
импульсов тока. Схема на рисунке 2.12в частично устраняет указанные
недостатки. Однако при любом состоянии ключей (транзисторы M 1 – M 4 ) через
источники постоянно протекает ток. Также для работы схемы требуются
дифференциальные сигналы. Актуальность проблемы рассогласования токов
накачки и заряда для данной схемы сохраняется. Схема на рисунке 2.12г
исключает использования транзисторов p-типа в качестве ключей, что позволяет
повысить крутизну импульсов тока. Область рабочих частот примерно совпадает
со схемами на рисунках 2.12а, б из-за наличия токового зеркала. Схема на
рисунке 2.13 полностью дифференциальная. Как следствие, размах управляющих
сигналов может быть уменьшен, что ведет к расширению области рабочих частот.
Схема менее чувствительна к рассогласованию токов накачки и разряда, так как
фактически это один и тот же ток. Влияние тока утечки также удается уменьшить,
92
так как он будет проявляться примерно в одинаковом уменьшении потенциалов
на выходе схемы.
Рисунок 2.13 – Дифференциальная цепь накачки
Рассогласование по времени переключения удается уменьшить за счет
исключения транзисторов p-типа в качестве ключей. При наличии двух отдельных
ФНЧ удается уменьшить влияние шума по питанию, земле и подложке
микросхемы, что важно особенно при размещении ФНЧ на кристалле. Однако для
реализации всех указанных преимуществ, требуется наличие дифференциальных
управляющих сигналов, два ФНЧ, большая площадь кристалла, наличие
дополнительных цепей для преобразования дифференциального сигнала в не
дифференциальный, больший потребляемый ток. В разрабатываемом устройстве
фильтр будет внешним. Таким образом, наибольший интерес представляет схема
на рисунке 2.12б с ключами, расположенными между истоками транзисторов.
Отметим, что МОП транзисторы с субмикронной длиной канала испытывают
сильное
влияние
эффекта
модуляции
длины
канала,
что
эквивалентно
уменьшению внутреннего сопротивления источника тока. Для уменьшения
влияния данного эффекта используется каскодное включение транзисторов.
Окончательный вид схемы представлен на рисунке 2.14.
Обычно расчет схем источников тока проводится из расчета минимизации
размеров транзисторов при заданном значении тока и допустимом отклонении от
номинального значения при изменении значения нагрузочного сопротивления.
При работе в динамическом режиме разница между номиналами паразитных
93
емкостей источников тока на основе p- и n-транзисторов, вызванная отличиями
геометрических размеров и рабочих точек транзисторов, приводит к эффекту
перераспределения заряда.
Рисунок 2.14 – Схема цепи накачки с каскодным включением транзисторов
Появляется рассогласование между фронтами и спадами формируемых импульсов
тока разной полярности. Как следствие, увеличивается уровень нелинейных
искажений.
Для
уменьшения
влияния
описанного
эффекта
предлагается
следующая методика расчета, учитывающая токи транзисторов в режиме слабой
инверсии.
Процедура
начинается
с
расчета
минимальных
размеров
p-
транзисторов, так как меньшая подвижность носителей зарядов относительно nтранзисторов, требует большего напряжения Vgsteff или ширины транзистора для
заданного значения тока. Применительно к цепям накачки значение Vgsteff задано
требованием диапазона перестройки по частоте, следовательно, в качестве
параметра остается только ширина Weff транзистора.
Шаг 1. Рассчитывается ширина транзистора p-типа Weff _ p в соответствии с
выражением:
Weff _ p


V
I cp Leff _ p 1  Esat _ p gsteff _ p 
Leff _ p 


,


1
,
25
V
gsteff _ p
2


1,1 0 _ p CoxVgsteff
_ p 1 



2
2
V
v

gsteff _ p
t 

94
где Esat _ p  2vsat _ p / 1.1 0 , vsat _ p – скорость насыщения носителей заряда.
Шаг 2. Рассчитывается напряжение Эрли по аналогии с моделью BSIM:
1
Va _ p  1,15 Esat _ p Leff _ p
Vadiblc _ p 
 5D

Vgsteff _ p  2vt 
1,25Vgsteff _ p 
L
1 
 ,  rout _ p  Pdiblc1 _ p  3  rout _ p eff _ p  ,


 rout _ p  2,25Vgsteff _ p  2vt 
2lt 0 _ p


 si X dep _ p
lt 0 _ p 
Cox
Vaclm _ p 
 1
 P V
1 

 1  vag _ p gsteff _ p 
 ,
E
L
V
V
sat _ p eff _ p  aclm _ p
adiblc _ p 

, X dep 
2 si  s _ p
qN ch _ p
,  s _ p  2vt ln(
1,25Esat _ p Leff _ p  Vgsteff  Vdd

 Vgsteff _ p  ,

1,1 1,25  Esat _ p  lit _ p  2

N ch _ p
ni _ p
lit _ p 
),
 si X j _ p
Cox
.
Здесь  si – диэлектрическая проницаемость кремния, q – заряд электрона.
Параметры Pvag _ p , Drout _ p , N ch _ p , ni _ p , X j _ p приводятся в модели BSIM.
Шаг 3. Рассчитывается значение выходного сопротивления каскодной схемы:
Rout _ p  g
2
m_ p o_ p
r
 1,1 0 _ p Cox
Weff _ p
Leff _ p
2
V 
Vgsteff _ p  a _ p  .
 I cp 
 при заданном отклонении I cp ,
Шаг 4. Рассчитывается значение требуемого Rout
выраженном в процентах, от I cp :
 
Rout
Vdd  4Vgsteff _ p
0,01I cp I cp
.
  Rout , увеличивается значение Leff _ p и производится пересчет значений,
Если Rout
вычисленных в предыдущих пунктах.
Шаг 5. Проводится расчет размеров транзистора, выполняющего роль ключа,
Weff _ psw и Leff _ psw таким образом, чтобы при заданном I cp падение напряжения на
транзисторе составляло Vds _ psw  1  1,5vt .
Шаг 6. Рассчитываются размеры ключа на основе транзистора n-типа из
следующего условия.
C gd 0 _ pWeff _ psw  C gd 0 _ nWeff _ nsw ,
95
где C gd 0 _ p , C gd 0 _ n – параметры модели BSIM. Значение Leff _ nsw рассчитывается из
условия:
Vds _ nsw  Vds _ psw .
Шаг 7. По аналогии с предыдущими пунктами рассчитываются размеры nтранзисторов Weff _ n и Leff _ n . Проводится пересчет значений из условия:
Weff _ n 
Weff _ p  0 _ n

,
Weff _ n  0 _ p
Weff _ n
Leff _ n

Weff _ n
.
 _n
Leff
Шаг 8. Рассчитывается номинал конденсатора для компенсации сдвига по
времени между фронтами импульсов тока накачки и разряда:
C comp1  C par _ p
V
V
gsteff _ p
gsteff _ n
 4,6 1,15  vt 
 4,6 1,15  vt 
 C par _ n .
C par _ n  C sb _ M 4  C gs _ M 4  C gd _ M 5  C db _ M 5 ,
C par _ p  C sb _ M 3  C gs _ M 3  C gd _ M 2  C db _ M 2 .
Шаг 9. Рассчитывается номинал конденсатора для компенсации сдвига по
времени между спадами импульсов тока накачки и разряда:
C comp 2  C par _ p
V
V
gsteff _ p
gsteff _ n
 4,6 1,15  vt 
 4,6 1,15  vt 
 C par _ n ,
C par _ n  C sb _ M 5  C gs _ M 5  C gd _ M 6  C db _ M 6 ,
C par _ p  C sb _ M 2  C gs _ M 2  C gd _ M 1  C db _ M 1 .
2.6.3 Методика расчета триггеров блока делителя частоты
На рисунке 2.15 показана схема защелки на основе «управляемой током
логики» [2.22, 2.23, 2.24]. Широкое распространение на практике схема получила
за счет большего диапазона рабочих частот и относительно маленькой вносимой
задержки. Улучшение указанных характеристик достигается дифференциальной
структурой и, как следствие, уменьшение размаха выходного напряжения. В
работах [2.25–2.27] достаточно хорошо описаны методики расчета буферных
каскадов. Расчет тактируемых схем предлагается проводить по аналогии. Однако
такой подход к расчету не корректен, так как не учитывается конечная
96
длительность фронта импульсов тактового сигнала, что накладывает ограничение
на значение минимальной задержки выходного сигнала.
Рисунок 2.15 – Схема защелки на основе «управляемой током логики»
Следствием является то, что в выходном сигнале делителя появляются провалы
напряжения. Для последующих каскадов данные провалы напряжения являются
помехой.
При
превышении
уровня
статической
или
динамической
помехоустойчивости происходят срывы синхронизации. Дальнейший расчет
выполнен в предположении синусоидального тактового сигнала, что является
типичным для первого каскада делителя частоты. В качестве первого
приближения считаем, что при превышении дифференциального тактового
сигнала u clk значения 0,5 Aclk один из транзисторов M 5 , M 6 выключается и ток I ss
протекает полностью в оставшемся.
Шаг 1. Рассчитывается длительность фронта тактового сигнала:
t clk 
u clk
0,5

,
Aclk 2f clk 2f clk
где Aclk – амплитуда, f clk – частота тактового сигнала.
Шаг 2. Рассчитывается минимальное и максимальное значение задержки сигнала:
 d _ min  0,25t clk ,
 d _ max 
0,69  Tclk
.
2  4,6
Шаг 3. Если  d _ min превышает допустимое значение, то u clk уменьшается и t clk
пересчитывается. Обычно u clk не меньше 70–100 мВ.
97
Шаг 4. Рассчитывается значение эквивалентной паразитной емкости C par _ min в
случае минимальных размеров транзисторов M 1  M 4 :
C par _ min  3C gd _ M 1  2C db _ M 1  C gb _ M 1  C gs _ M 1  C gb _ M 5  C gd _ M 5  C gs _ M 5 .
Шаг 5. Рассчитывается значение минимального и максимального значения
сопротивления Rd :
Rd _ min 
Rd _ max 
 d _ min
0,69C par _ min
 d _ max
0,69C par _ min

0,25t clk
,
0,69C par _ min

Tclk
.
2  4,6  C par _ min
Шаг 6. Если Rd _ min или Rd _ max превышают допустимые значения для используемой
технологии
или
площадь
делителя
превышает
заданное
значение,
то
целесообразно уменьшить Rd _ min или Rd _ max . При этом пропорционально увеличить
размеры M 1  M 4 так, чтобы отношение Rd C par осталось неизменным.
Шаг 7. Согласно заданному значению размаха напряжения Vsw в одном плече
схемы рассчитывается I ss :
I ss _ max 
Vsw
,
Rd _ min
I ss _ min 
Vsw
.
Rd _ min
Шаг 8. Рассчитываются размеры транзисторов M 1  M 4 согласно заданному
рассчитанному значению I ss и C par . Размеры M 5 , M 6 рассчитываются согласно
I ss и u clk .
2.7 Выводы
1) Разработана функциональная модель синтезатора частот. Предложенная модель
позволяет проводить анализ как во временной, так и в частотной области. При
этом учитываются задержка и асинхронность сброса триггеров ФД, задержки
распространения сигналов с входов ФД на выход цепи накачки, отличные
крутизны фронтов и амплитуд импульсов тока заряда и разряда, конечное входное
сопротивление
ГУН,
нелинейная
зависимость
крутизны
характеристики
98
управления и амплитуды сигнала ГУН от управляющего сигнала, задержка в цепи
блока делителя частоты.
2) Моделирование во временной области позволяет выявить наличие и оценить
уровень паразитных гармоник в выходном спектре сигнала синтезатора частот.
Наличие гармоник, их уровень и частоты определяются структурой ДСМ, типом
управляющего сигнала ДСМ, импульсной природой сигналов ЦН.
3) В модели учитываются шумы опорного генератора, цепи накачки, генератора,
управляемого напряжением и шумы квантования дельта-сигма модулятора.
4) Уровень фазовых шумов, нелинейных искажений и время перестройки по
частоте зависят от крутизны и рабочей точки характеристики управления ГУН.
Например, нелинейная зависимость крутизны характеристики управления ГУН
приводит к изменению полосы пропускания по уровню минус 3 дБ передаточного
коэффициента замкнутой петли ФАПЧ. При этом время перестройки по частоте
будет зависеть от величины начальной расстройки и рабочего участка
характеристики управления ГУН. Статическая и динамическая разности токов
накачки и разряда будут также варьироваться в зависимости от положения на
характеристике управления и обычно увеличиваются при приближении к краям
рабочего участка характеристики управления. Как следствие, увеличивается
уровень шума, вносимый ЦН, и уровень паразитных гармоник.
5) Предложена методика параметрического синтеза и расчета синтезатора частот
по совокупности требований на время перестройки, уровень фазовых шумов и
нелинейных
искажений.
Включение
в
процедуру
расчета
параметров
технологического процесса позволяет контролировать физическую реализуемость
разрабатываемого устройства на раннем этапе. Расчет параметров синтезатора
упрощает проведение возможной оптимизации устройства за счет сужения
области поиска оптимальных значений.
6) Предложена методика расчета цепи накачки с учетом токов транзисторов в
режиме
слабой
инверсии,
что
позволяет
уменьшить
разницу
между
длительностями фронтов и спадов импульсов тока накачки и разряда. Как
99
следствие, уменьшается уровень просачивания сигнала на опорной частоте на
вход управления ГУН.
7) Предложена
методика
расчета
триггеров
блока
делителя
частоты,
учитывающая конечную длительность фронта или спада тактового сигнала.
Данный подход позволяет определить минимально допустимую задержку  d _ min
выходного сигнала триггера, что влияет на уровень фазовых шумов синтезатора.
Методика позволяет проводить расчет триггеров по критерию минимальной
потребляемой мощности или минимальной вносимой задержки.
100
3 Разработка синтезатора частот
3.1 Основные требования к характеристикам блоков устройства
В первой части работы было показано, что синтезаторы частот можно
использовать для формирования модулированных колебаний с постоянной
огибающей. В этом случае структура передатчика упрощается и сводится только к
введению усилителя мощности на выходе синтезатора частот. Одним из видов
сигналов с постоянной огибающей являются сигналы с линейной частотной
модуляцией. Данный тип сигналов нашел широкое применение в радиолокации,
системах связи с использованием сигналов с расширенным спектром, оптических
системах [3.1, 3.2]. Если требования к линейной зависимости частоты от времени
ослаблены или на первое место выходит требование по сканированию диапазона
частот, то дополнительные меры по уменьшению нелинейных искажений при
формировании ЛЧМ сигналов не требуются. Выберем для простоты последний
случай. Таким образом, вначале будет рассмотрена процедура расчета параметров
основных блоков синтезатора и представлены результаты разработки блоков
устройства на уровне схемотехники. Далее будет проведен сопоставительный
анализ данных моделирования в частотной и временной областях в среде Simulink
и в среде Cadence Virtuoso. В завершении будут приведены основные выводы. В
соответствии с предложенной методикой проведем расчет синтезатора частот.
Шаг 1. Зададим диапазон рабочих частот:
f  f max  f min  2400  2480  80 МГц.
Набор частот формируемого несущего колебания:
f c _ s  2,4  109  156,25  103  s Гц,
s  0,1,2,..,511 .
Предполагается, что микросхема будет реализована на основе КМОП технологии
с технологической нормой 180 нм. Типичный уровень напряжения питания для
данной технологии составляет Vdd  1,8 В. Уровень фазовых шумов S должен
составлять не более минус 110 дБн/Гц при f phn  1 МГц. Уровень нелинейных
искажений не более минус 70 дБн. Время перестройки не более t LK  35 мкс.
101
Шаг 2. Рассчитаем крутизну характеристики управления ГУН. Здесь учтем, что
типичная разность токов накачки и разряда обычно не должна превышать 5%.
Также ввиду малой минимальной длины канала транзисторов, равной 180 нм,
будет использоваться каскодное включение транзисторов. Параметр l  2 ,
значение TVCO составит:
TVCO 
f
80  10 6

 80 МГц/В.
Vdd  lV gsteff 1,8  2  2  0.2
Учитывая температурную зависимость емкости варикапа от температуры
увеличим значение TVCO на 10%. Таким образом,
TVCO  88 МГц/В.
Шаг 3. Проведенный расчет ГУН показал, что уровень фазовых шумов составляет
SVCO  118 дБн/Гц при f phn  1 МГц.
Шаг 4. Минимальная полоса пропускания по уровню минус 3 дБ:
f 3дБ 
4
t LK

4
 115 кГц.
35  10 6
Учитывая грубость данной оценки увеличим значение полосы, т.е. f 3дБ примем
равным 150 кГц.
Шаг 5. Так f 3дБ  f phn и SVCO  S , то уровень фазовых шумов при отстройке от
несущей равной f phn  1 МГц будет определяться преимущественно шумами ГУН.
Корректировка f 3дБ не требуется.
Шаг 6. Минимальное значение опорной частоты для ФНЧ второго порядка
составит:
f ref  10 f 3дБ  1,5 МГц.
Для ФНЧ третьего порядка:
f ref  10  5  f 3дБ  7,5 МГц.
Шаг 7. Проведем расчет значения f ref исходя из равенства SVCO и S  на частоте
f phn . Тогда для модулятора второго порядка:
102
(2 ) 2 (2f phn ) 2 ( m1)
f ref 
2 m 1
 f
12S  phn
 f 3дБ



4
(2  3,14) 2 2  3,14  10 6 
2

3
12  10
118
10
 106 


3
 150  10 
4
 34,62 МГц.
Для ДСМ третьего порядка:
(2 ) 2 (2f phn ) 2 ( m1)
f ref 
2 m 1
 f 
12S  phn 
 f 3дБ 
4
(2  3,14) 2 2  3,14  106 
4

5
12  10
118
10
 10 6 


3
 150 10 
4
 17,49 МГц.
Оба полученных значения удовлетворяют условиям предыдущего пункта.
Учитывая меньшую рабочую частоту, для дальнейшего расчета был выбран
модулятор третьего порядка. Значение f ref было принято равным 20 МГц.
Шаг 8. Значения коэффициентов деления рассчитываются как:
nd _ fr _ s 
fc _ s
f ref
2,4  109  156,25  103  s

20  10 6
Шаг 9. Так как задан шаг перестройки по частоте, то порядок модулятора будет
равен:
f ref


  7.
N  log 2 
3 
156
,
25
10



Отметим, что часто перед входом делителя частоты ставят прескалер. Его задача –
понизить рабочую частоту последующих блоков. В этом случае уравнение для
синтезатора примет вид:
f c  2nnom f ref  2nint  n fr  f ref .
Из выражения следует, что шаг перестройки по частоте будет в два раза больше.
Следовательно, разрядность ДСМ должна быть увеличена на единицу, т.е.:
N  8.
Шаг 10. В рассматриваемом случае данный шаг можно пропустить учитывая
переменный сигнал управления ДСМ и высокий порядок модулятора.
Шаг 11. Поступаем аналогично предыдущему пункту.
103
Шаг 12. Так как уровень фазовых шумов задан только для частоты f phn , то
зададим значение для S cp  SVCO ( f 3дБ )  87 дБн/Гц. Значение  imp  350 пс. Тогда
минимальные размеры транзистора составят:
Noia  vt ( f c _ s   imp ) 2
 0,25 10 12 м2.
Weff  Leff 
36
Ef
S cp ( f )  f  4  10
Значение f c _ s  2440 МГц.
Шаг 13. Приняв Leff  0,25  10 6 м, минимальное значение тока цепи накачки
составит:
I cp  0.9Cox  0 eff
Weff
Leff
Vgsteff Vds  39 мкА.
Учитывая погрешность расчета I cp и Weff  Leff зададим I cp  100 мкА.
Шаг 14. Для краткости изложения приведем только результаты расчета
номиналов ФНЧ. Дополнительное подавление, вносимое RC цепочкой, примем
равным Tatt  10 дБ. Номиналы элементов ФНЧ составили:
C1  71 пФ,
C 2  493 пФ,
C3  7,1 пФ,
R3  3,3 кОм.
R2  9,3 кОм,
Учитывая возможные отличия реальных номиналов пассивных элементов от
рассчитанных значений, для подстановки в модель и использования в отладочной
плате были выбраны следующие SMD компоненты типоразмера 0603:
C1  68  5 % пФ, C2  510  5 % пФ,
C3  7,5  5 % пФ,
R2  9,1  1 % кОм,
R3  3,2  1 % кОм.
Шаг 15. Расчет паразитной гармоники на частоте
f c _ s  f ref проводился в
предположении  res   imp  350 пс,  tr  300 , т.е. время, в течение которого
включены источники тока, чуть больше, чем длительность переходного процесса.
Pc1  108 дБн.
3.2 Анализ и разработка блоков синтезатора на схемном уровне
3.2.1 Блок фазового детектора
104
В предыдущем разделе был описан принцип работы фазового детектора. На
основании
этого
можно
составить
диаграмму
состояний
устройства,
представленную на рисунке 3.1. Детектор может находиться в четырех
состояниях: «HZ» – выводы находятся в высокоомном состоянии, «UP», «DN» –
на одном из выводов устанавливается логическая единица, «R» – на обоих
выводах устанавливается на короткое время логическая единица. Переходы
между состояниями происходят только по переднему фронту импульсов сигналов
делителя частоты или опорного генератора. Согласно диаграмме данное
устройство необходимо реализовывать на основе последовательной логики,
например, D-триггеров. Учитывая, что время между переходными состояниями не
может превышать двух периодов Tref , целесообразно использовать триггеры
динамического типа.
Рисунок 3.1 – Диаграмма состояний фазового детектора
Данный подход позволяет уменьшить количество элементов в схеме и задержку
выходных сигналов. Далее, учитывая, что u ref t  не дифференциальный сигнал,
удобно использовать триггеры, построенные на принципе TSPC (абр. от англ.
True Single Phase Clock) [3.3], состоящие из двух защелок. Однако, в работе [3.4]
предложено изящное решение, позволяющее реализовать функцию триггера
только на одной защелке с небольшими дополнениями. Указанное решение
представлено на рисунке 3.2. На вход «CLK » подается сигнал с выхода опорного
генератора или с выхода делителя частоты. На выводе « Out » устанавливается
логический ноль, т.е. для получения логической единицы требуется установить
инвертор на выходе схемы.
Сброс устройства в
начальное состояние
осуществляется путем подачи на выводы « Re s », « Re s » соответствующего
сигнала. Кратко рассмотрим основные моменты работы схемы.
105
Рисунок 3.2 – D-триггер на основе TSPC защелки
Предположим, что на вход «CLK » подается сигнал с выхода опорного
генератора. На выводы « Re s », « Re s » подается логический «0» и «1»
соответственно. На выводе «Out » установилась логическая «1». Транзисторы
«М2-М6» закрыты, «М1», «М7», «М8» открыты. По переднему фронту сигнала
опорного генератора открывается транзистор «М6» и происходит разряд емкости
нагрузки, в качестве которой выступает паразитная емкостная часть входного
сопротивления следующих каскадов и паразитная емкость выходного каскада
схемы. Далее считаем, что частоты сравниваемых сигналов близки и сигнал
сброса приходит до того, как сигнал опорного генератора примет значение «0».
При переходе состояния выхода «Res» из «0» в «1» транзистор «М2» откроется и
разрядит C p1 . Тогда «М7» закроется, «М4», «М5» откроются и начнется заряд CL .
Предположим, что сигнал сброса схемы приходит после установления «0» на
выводе «CLK ». Транзисторы «М4», «М6» закрыты. Заряд CL будет происходить
только через транзистор «М5». На рисунке 3.3 представлена схема и значения в
узлах схемы в момент перехода сигнала на выводе «CLK » из «1» в «0» и
последующем сбросе устройства к начальному состоянию. Отметим, что схема в
принципе представляет собой два последовательно включенных инвертора и
требует соответствующего расчета.
106
Рисунок 3.3 – Работа схемы при больших расстройках по частоте или фазе
На рисунке 3.4 представлен вид схемы в среде Cadence, где указаны
геометрические размеры использованных транзисторов. Расчет правого инвертора
проводился в предположении наличия только «М4». Размеры «М5» были заданы
примерно равными «М4» с таким условием, чтобы время установления сигнала на
выводе «CLK » при работе только «М5» соответствовали случаю, когда зарядка
CL производится через «М4», «М5».
Рисунок 3.4 – Схема триггера в пакете Cadence
3.2.2 Блок цепи накачки
Расчет блока цепи накачки проводился из условия минимизации размеров
транзисторов для уменьшения влияния паразитных емкостей и повышения
быстродействия схемы. Схема устройства представлена на рисунке 3.5. Цепи
107
задания рабочих точек не приводятся. После изготовления микросхемы
планировалось
измерить
уровень
нелинейных
искажений
и
сравнить
с
результатами расчета. После этого провести сравнение с результатами
моделирования
схемы
цепи
накачки,
рассчитанной
с
использованием
предложенной методики.
Рисунок 3.5 – Цепь накачки
Здесь, транзисторы M 30 , M 31 , M 52 выполняют функции ключей. Сигналы с
выхода фазового детектора поступают на выводы «vup», «vdn». Транзисторы M 32 ,
M 34 и M 33 , M 35 , M 49 задают ток накачки и разряда соответственно. Транзисторы
M 49 и M 52 используются для уменьшения тока в области напряжений, близких к
Vdd . Целью является повышение согласования с током накачки.
3.2.3 Генератор, управляемый напряжением
Генераторы, управляемые напряжением обычно строятся на основе
резонансного LC
контура или элементов задержки. Последние обладают
сравнительно более высоким уровнем фазовых шумов и редко применяются в
аналоговой части приемо-передающих устройств [3.5-3.7]. Схемы на основе
резонансного контура получили название трехточечных автогенераторов. По типу
выходного сигнала схемы делятся на балансные и недифференциальные. В
интегральном исполнении, как правило, используются балансные схемы,
108
позволяющие уменьшить влияние наводок со стороны источника питания и земли
микросхемы, обеспечить большее подавление гармоник высшего типа и
возможность прямого подключения к входам смесителя. На рисунке 3.6
приведены основные схемы построения генераторов. Генератор на рисунке 3.6а
основан на перекрестно связанных парах p- и n-транзисторов [3.6, 3.7], на рисунке
3.6б – только на паре n-транзисторов [3.8–3.11]. Однако схема может состоять и
из p-транзисторов [3.12]. Источник тока может располагаться как в верхней части
[3.13], так и в нижней [3.14, 3.15]. В общем, генераторы на основе p- и nтранзисторов позволяют увеличить амплитуду колебаний за счет внесения
дополнительной части отрицательного сопротивления. Влияние наводок по
питанию и земле уменьшается за счет расположения колебательного контура
между парами перекрестно-связанных p- и n-транзисторов.
Рисунок 3.6 – Основные схемы дифференциальных автогенераторов
Однако такой тип устройств обладает и рядом недостатков относительно схем на
основе только n-транзисторов. Подвижность носителей заряда p-транзисторов
меньше. С одной стороны, данный эффект приводит к уменьшению вклада pтранзисторов в компенсацию потерь контура с ростом частоты, что накладывает
ограничение на максимальную рабочую частоту. С другой стороны, значения
паразитных емкостей p-транзисторов больше. Поэтому, при заданной частоте
осцилляции необходимо уменьшать размеры варактора C . Последнее приводит к
уменьшению диапазона перестройки по частоте. Также через обе пары
109
транзисторов протекает одинаковый ток, однако потребляемая мощность не
обязательно ниже, так как часть напряжения падает на верхней паре
транзисторов.
Помимо
этого,
p-транзисторы
являются
источником
дополнительного фликкер шума. Исходя из сказанного, для дальнейшей
разработки была выбрана схема на основе n-транзисторов.
Отметим, что существует несколько подходов к расчету трехточечных
автогенераторов, например, работы [3.13, 3.15]. На рисунке 3.7 показан
окончательный вариант генератора. Рассчитанное значение индуктивностей
составило 1,21 нГн, конденсаторов – 629 фФ. Обычно после проведение
экстрагирования
паразитных
параметров
номиналы
конденсаторов
или
индуктивностей корректируются. Для обеспечения развязки между выходами и
следующими каскадами устройств используются буферы «I214» «I217».
Перестройка по частоте осуществляется за счет варикапов «D22», «D23». Для
компенсации отклонений номиналов индуктивностей и конденсаторов готового
изделия от рассчитанных дополнительно к конденсатору «С10» подключаются
конденсаторы меньших номиналов.
Рисунок 3.7 – Генератор, управляемый напряжением, на основе n-транзисторов
Всего
110
используется
дополнительно
4
конденсатора.
Подключение
или
выключения из цепи осуществляется путем подачи напряжения, равного
потенциалу земли или питания, на выводы «K1» – «K4».
3.2.4 Блок делителя частоты
Задача разработки блока делителя частоты осложняется следующими
факторами [3.16, 3.20]: высокие рабочие частоты, обеспечение сравнительно
небольшой потребляемой мощности, минимизация вклада в фазовый шум ФАПЧ,
возможность задания различных коэффициентов деления. Известно, что
увеличение рабочей частоты делителя ведет к повышению потребляемой
мощности. Использование прескалеров позволяет уменьшить рабочие частоты
следующих блоков, однако уменьшает разрешение по частоте, что было показано
в пп. 3.1.1. С другой стороны, работа делителя частоты в асинхронном режиме
приводит к росту дрожания фазы, а, следовательно, и фазовых шумов. Напротив,
стабильность фазы выходного сигнала синхронных устройств, практически
определяется стабильностью входного сигнала. Однако внутренние блоки
синхронного устройства работают на одной частоте, что не позволяет уменьшить
потребляемую мощность. На практике обычно комбинируют синхронные и
асинхронные делители частоты, добиваясь оптимального решения, по какому
либо критерию, например, уровня вносимого дрожания фазы или потребляемой
мощности. В общем можно выделить два основных подхода: схема на основе
двух счетчиков (рис. 3.8) [3.7, 3.10, 3.11] и схема на основе каскадного
соединения прескалеров [3.8, 3.9, 2.22]. В обоих случаях используются
прескалеры с программируемым коэффициентом деления и принцип подавления
импульсов в выходном сигнале.
Рисунок 3.8 – Блок схема делителя на основе двух счетчиков
111
Схема на рисунке 3.8 работает следующим образом. Предположим, что счетчики
« P » и « S » находятся в начальном состоянии. Тогда сигнал переполнения « MC »
на выходе счетчика « S » равен, например, логическому нулю и коэффициент
деления прескалера в этом случае равен
(m  1) . Также считаем, что
максимальные числа, хранимые в счетчиках « P » и « S », равны p и s
соответственно. При этом число p постоянно и больше, чем s . Тогда частота
входного сигнала делится на (m  1) . После того, как на вход счетчика « S »
поступит s импульсов, сигнал « MC » будет равен логической единице и
коэффициент прескалера изменится на m . Состояние счетчика « S » изменится
только после поступления сигнала сброса с выхода счетчика « P ». Такой сигнал
будет сформирован после того как на вход счетчика « P » поступит ( P  S )
импульсов с выхода устройства. Далее оба счетчика сбросятся в начальное
состояние и процесс повторится. В результате период выходного сигнала
составит:
Td  Tc m  1s  Tc m p  s    pm  s Tc ,
nd  pm  s
Работа схемы на рисунке 3.9 отличается. Пусть управляющие сигналы S 0 , S1 , S 2
равны нулю. Если каждый прескалер при таком значении управляющего сигнала
делит на m , то частота выходного сигнала составит f c / m3 . Предположим, что
только S0 равен логической единице. Тогда первый прескалер будет делить на
(m  1) только до появления первого импульса на его выходе. После этого Dтриггер будет сброшен в начальное состояние и значение сигнала « MC0 » будет
равно нулю. Когда произойдет переполнение последнего блока, на выходе
появится импульс. По фронту этого импульса значения S 0 , S1 , S 2 будут переданы
на выходы D-триггеров и процедура повторится. В итоге период выходного
сигнала будет увеличен на длительность одного периода входного сигнала, т.е.
коэффициент деления составит (m3  1) . В общем случае для цепочки из p
каскадов прескалеров коэффициент деления будет равен:
112
nd  m p  S p1m p1  ...  S1m  S0 .
В свою очередь прескалеры могут быть синхронными или асинхронными
устройствами и обычно строятся на основе счетчика Джонсона. Однако
максимальная рабочая частота таких устройств ограничена дополнительными
логическими цепями, позволяющими изменять коэффициент деления.
Рисунок 3.9 – Блок схема делителя на основе каскадного соединения прескалеров
Впервые подход к построению прескалера на основе делителей с постоянным
коэффициентом деления равным двум и мультиплексора был показан в работе
[3.14]. Кратко отметим, что преимуществом схемы является то, что только первый
делитель работает на максимальной частоте. Мультиплексор работает на частоте
выходного сигнала. Недостатком схемы является склонность к провалам уровня
выходного сигнала, что может привести к ложным срабатываниям последующих
блоков. Тем не менее, предложены различные подходы по устранению указанного
недостатка. Для построения схемы в нашем случае был выбран подход на основе
двух счетчиков. На рисунке 3.10 приведена блок схема блока делителя. Здесь блок
«Div_2_AN» обеспечивает постоянный коэффициент деления равный двум. Блок
«Div_4_5» выполняет функции прескалера с коэффициентами деления равными
четырем и пяти. Сигналы выбора текущего коэффициента деления поступают на
выводы «MC4» и «MC5». Блоки «Div_P», «Div_S» соответствуют счетчикам « P »
и « S » рисунка 3.8. С точки зрения схемотехники счетчики обычно строится на
основе
последовательных
устройств
динамического
типа.
Относительно
прескалеров рабочая частота счетчиков ниже в несколько раз, что позволяет
добиться компромисса между вносимой задержкой и потребляемой мощностью.
Прескалеры обычно строятся на основе статических последовательных устройств
и состоят из двух защелок работающих в режиме «ведущий-ведомый». Так, на
113
рисунке 3.11 изображена защелка, предложенная в работе [3.21]. Относительно
схем на основе TSPC логики данное решение не содержит каскадного соединения
p-транзисторов [3.3, 3.4].
Рисунок 3.10 – Блок схема разработанного блока делителя частоты
Количество затворов транзисторов, отделяющих выходной сигнал от входного,
равно двум, что повышает быстродействие устройства. Тогда как в случае TSPC
логики количество затворов равно трем.
Рисунок 3.11 – Схема защелки, предложенная в работе [3.21]
Тем не менее, схема требует наличие дифференциальных сигналов развивающих
полный размах по напряжению и потребляет постоянный ток, не связанный с
частотой переключения защелки. Исходя из компромисса между потребляемой
мощностью, уровнем уносимых шумов и временной задержки блок прескалера
был построен на основе синхронного счетчика Джонсона. При этом ввиду
относительно высоких рабочих частот триггеры, входящие в состав блоков
114
«Div_2_AN» и «Div_4_5», были реализованы на основе «управляемой током
логики». В качестве примера на рисунке 3.12 приведена окончательная схема
одной из защелок блока «Div_2_AN». В данном случае вместо резисторов в
нагрузке для уменьшения площади кристалла были использованы p-транзисторы
M 2 , M 5 , работающие в линейном режиме. Расчет схемы проводился в
соответствии с методикой, представленной в п. 2.8. Амплитуда тактового сигнала,
т.е. выходного сигнала ГУН составила Aclk  0,8 , максимальная частота входного
сигнала
f clk  3 ГГц,
uclk  0,2 В.
Значение
эквивалентного
сопротивления
Rd  10 кОм при задержке  d  52 пс. Ток потребления I ss  42 мкА.
3.2.5 Дельта-сигма модулятор
Выше было отмечено, что для достижения разрешения по частоте меньше, чем
значение f ref используются цифровые дельта-сигма модуляторы. На практике
цифровые модуляторы строятся обычно на основе многокаскадных MASHмодуляторов [3.22–3.24, 3.28] или однокаскадных модуляторов первого [3.25] или
третьего порядка [3.26, 3.27]. Многокаскадные модуляторы обеспечивают
абсолютную устойчивость из-за особенностей построения схемы и большее
подавление шумов в области низких частот. Так, например, шумы двухкаскадного
MASH-модулятора в области низких частот соответствуют примерно шумам
однокаскадного
сравнительно
модулятора
большая
четвертого
структура
порядка.
устройства
и,
Недостатком
является
следовательно,
большая
потребляемая мощность. Однокаскадные модуляторы при определенных условиях
позволяют
упростить
структуру
устройства.
Однако
построение
таких
модуляторов осложнено склонностью устройств к самовозбуждению, что в итоге
нарушает работу синтезатора частот. Для обеспечения устойчивой работы
подбираются или рассчитываются значения коэффициентов передачи цепей
обратной связи и ограничивается диапазон входных сигналов модулятора.
Реализация арифметических операций деления или умножения отличных от
степени двойки может привести к усложнению структуры устройства.
115
Рисунок 3.12 – Принципиальная схема защелки блока «Div_2_AN»
Ограничение диапазона входных сигналов приводит к ограничению диапазона
формируемых коэффициентов деления. Недостатком обоих типов модуляторов
являются паразитные гармоники в спектре выходного сигнала модуляторов,
появляющиеся при формировании несущего колебания с постоянной частотой.
Так как входные сигналы модуляторов не изменяются. В целом многокаскадные
модуляторы позволяют уменьшить уровень паразитных гармоник относительно
однокаскадных схем. На основании выше изложенного для дальнейшей
разработки был выбран трехкаскадный MASH-модулятор. На рисунке 3.13
представлена блок схема готового устройства.
Рисунок 3.13 – Трехкаскадный MASH-модулятор
Устройство состоит из трех аккумуляторов «I4–I6» и блока обработки выходных
сигналов аккумуляторов для подавления шума квантования блоков «I4–I5». В
качестве выходных сигналов используются сигналы переполнения аккумуляторов
«OVF1–OVF3». Выходной сигнал модулятора представляет собой набор
116
цифровых сигналов «D0–D3». Выводы «x0–x7» используются для задания
дробной части коэффициента деления n fr . В качестве такового сигнала блоков
используется сигнал с выхода блока делителя частоты. Сброс в начальное
состояние внутренних триггеров устройств осуществляется путем подачи сигнала
низкого уровня на вывод «Ri».
На рисунке 3.14 приведена структура
аккумулятора.
Рисунок 3.14 – Структура аккумулятора
Устройство состоит из D-триггеров и полных сумматоров. В качестве сигнала
переполнения используется сигнал переноса старшего разряда сумматора «I22».
На рисунке 3.15 представлена блок-схема формирования огибающей шума
квантования. Операции вычитания заменены суммированием с использованием
дополнительного кода. Выходной сигнал модулятора приводит к переключению
текущего коэффициента деления между целыми числами равными:
nd  nint  3, nint  2, ..., nint  3, nint  4 .
Сигнал «S3» на рисунке 3.15 или «D3» на рисунке 3.19 используется для учета
знака цифровой последовательности чисел «D0– D2».
117
Рисунок 3.15 – Блок формирования огибающей шума квантования
3.3 Проведение моделирования
3.3.1 Функциональное моделирование в среде Simulink
В предыдущем разделе амплитуды токов накачки I UP и разряда I DN были
заданы равными 100 мкА. Значения управляющего напряжения ГУН u LPF на краях
рабочего диапазона составили 0,4 В и 1,4 В. При этом максимальная разность
токов IUP  I DN при указанных значениях u LPF задана равной ±5%. На рисунке 3.16
приведены зависимости
I UP ,
I DN
от значения u LPF , полученные в ходе
моделирования во временной области в среде Simulink. Выход цепи накачки
подключен к идеальному интегратору, соответствующему в электрической схеме
емкости. Длительность импульсов тока разряда меньше, чем длительность
импульсов тока накачки. Как следствие, напряжение на выходе интегратора
возрастает. При этом амплитуды импульсов меняются линейно. Следует
отметить, что в реальной цепи характер зависимости I UP , I DN от u LPF нелинейный.
Однако в установившемся режиме длительность импульсов тока очень мала и
составляет порядка сотен пикосекунд. Соответствующее изменение u LPF также
мало, а именно, единицы микровольт. Задание линейной зависимости I UP , I DN от
u LPF в данном случае не вносит существенной ошибки. На рисунке 3.17
118
изображены эпюры напряжений и токов в узлах ФД и ЦН. В верхней части
рисунка приведены сигналы U up , U dw , соответствующие выходным сигналам
фазового детектора. В средней части – зависимости I UP , I DN от времени. В
нижней части рисунка показан результирующий импульс тока I cp , поступающий в
блок ФНЧ.
Рисунок 3.16 – Зависимость токов I UP , I DN от значения u LPF
Для выбранной КМОП технологии время нарастания импульса тока обычно
составляет 30 – 60 пс, рассогласование между плечами цепи накачки – 10 – 40 пс,
суммарная задержка сигналов накачки и заряда со входа ФД и выхода цепи
накачки равна примерно 200 – 300 пс. Учитывая данные типичные значения при
проведении моделирования были заданы следующие параметры блоков ФД и ЦН:
задержки в плечах накачки и разряда – 270 пс и 250 пс, рассогласование между
плечами – 30 пс,  AND в цепи сброса триггеров ФД – 350 пс, время нарастания
импульсов тока – 60 пс и 50 пс.
119
Рисунок 3.17 – Эпюры сигналов, соответствующие токам и напряжениям в узлах
реального синтезатора частот
Спектральная плотность тока цепи накачки представлена на рисунке 3.18.
Рисунок 3.18 – Спектральная плотность тока цепи накачки
При формировании шума во временной области использовался источник белого и
фликкерного шума. На рисунке 3.19 приведена спектральная плотность шумов
ГУН. Далее было проведено моделирование всего синтезатора частот во
временной области. Приведенные ниже графики были получены при одинаковых
120
условиях. После начала моделирования для завершения всех переходных
процессов в блоках устройства выдерживалось время равное 50 мкс. После этого
подавался сигнал начала перестройки по частоте. Окончанием переходного
процесса считается установление значения u LPF с точностью 1 мВ.
Рисунок 3.19 – Спектральная плотность шумов ГУН в среде Simulink
На рисунке 3.20 показан вид зависимости u LPF (t ) для случая перестройки
синтезатора с 2,48 ГГц до 2,44 ГГц.
Рисунок 3.20 – Характеристика перестройки между 2,48 ГГц – 2,44 ГГц
Здесь срывы синхронизации отсутствуют. Время завершения переходного
процесса не превышает 17,2 мкс. Кривая перестройки в нижнем диапазоне
приведена на рисунке 3.21. В этом случае срывы синхронизации также не
наблюдаются. Время перестройки составило около 18,5 мкс.
121
Рисунок 3.21 – Характеристика перестройки между 2,44 ГГц – 2,40 ГГц
При перестройке в пределах всего диапазона, а именно, 2,48 ГГц – 2,40 ГГц, был
зафиксирован срыв синхронизации. При этом длительность переходного процесса
увеличилась до 23,2 мкс. Зависимость u LPF (t ) для этого случая отображена на
рисунке 3.22.
Рисунок 3.22 – Характеристика перестройки между 2,48 ГГц – 2,40 ГГц
В случае линейной характеристики ГУН срывы синхронизации отсутствовали.
Время перестройки во всех выше перечисленных интервалах частот было
постоянным и составило 17,4 мкс. В качестве примера на рисунке 3.23 приводится
временная зависимость сигнала u LPF для диапазона 2,48 ГГц–2,40 ГГц. Для
анализа характеристик синтезатора в частотной области был рассчитан спектр
выходного сигнала на двух частотах с использованием алгоритма быстрого
преобразования Фурье. Количество отсчетов было задано равным 224, время
измерения – 40 мкс, окно «Хамминга».
122
Рисунок 3.23 – Характеристика перестройки между 2,48 ГГц – 2,40 ГГц при
линейной зависимости частоты ГУН от u LPF
Спектр выходного сигнала на частоте 2,40 ГГц приведен на рисунке 3.24. Уровень
гармоник на частотах f c  f ref составил около 89 дБн.
Рисунок 3.24 – Спектр мощности на частоте 2,40 ГГц
Амплитуда паразитных гармоник на центральной частоте 2,44 ГГц должна быть
меньше, так как крутизна характеристики ГУН в этой точке и разница между
токами накачки и разряда меньше. Данное предположение подтверждают спектр
мощности, изображенный на рисунке 3.25. По сравнению с предыдущим случаем
уровень гармоник уменьшился на 6 дБ, что примерно объясняется влиянием
нелинейности характеристики ГУН.
123
Рисунок 3.25 – Спектр мощности на частоте 2,44 ГГц
Графики спектральной плотности фазовых шумов на частотах 2,40 ГГц, 2,44 ГГц
представлены на рисунках 3.26 и 3.27 соответственно.
Рисунок 3.26 – Спектральная плотность фазовых шумов ГУН на частоте 2,40 ГГц
Для сравнения в обоих случаях приведены зависимости фазового шума от
частоты, рассчитанные на основании выражений 2.7, 2.8.
Рисунок 3.27 – Спектральная плотность фазовых шумов ГУН на частоте 2,44 ГГц
124
Напомним, что данные выражение выведены в предположении линейности
характеристики ГУН. Также в символьном анализе не учитываются шумы цепи
накачки. Согласно данным рисунков, как и ожидалось, уровень фазовых шумов
зависит от частоты генерации. Тем не менее, в данном случае зависимость не
имеет ярко выраженного характера. Различия между графиками составляют около
двух децибел.
3.3.2 Моделирование на схемном уровне
Ниже будут представлены результаты моделирования на схемотехническом
уровне следующих блоков синтезатора частот: блока цепи накачки, фазового
детектора, делителя частоты и ГУН. Моделирование проводилось в пакете
Cadence Analog Design Environment. Предварительно обобщим характеристики
синтезатора частот, рассчитанные на предыдущих этапах.
1. Частота опорного сигнала f ref  20 МГц.
2. Ток накачки I cp  100 мкА.
3. Рабочий диапазон частот 2400-2480 МГц.
4. Диапазон перестройки по частоте f  f max  f min  2480  2400  80 МГц.
5. Крутизна характеристики ГУН TVCO  90 МГц/В.
6. Центральная частота диапазона f mid  2440 МГц.
7. Полный коэффициент деления 2nint  120, 121,.., 124 .
8. Шаг перестройки по частоте f step
1
20  106
 N f ref 
 156,25 кГц.
2
128

9. Разрядность ДСМ N   8 .
Рассмотрение начнем с анализа блока цепи накачки по постоянному току,
изображенного на рисунке 3.5. Выше было отмечено, что разность амплитуд
токов накачки приводит к увеличению как фазовых шумов ГУН, так и уровня
побочных гармоник на частоте сравнения. Полученные зависимости I UP , I DN от
u LPF приведены на рисунке 3.28. Расчет выполнялся при нормальных условиях и
при трех значениях температуры: минус 40°С, 27°С и +60°С. Отклонения
125
абсолютных значений токов накачки и разряда в пределах рабочего диапазона
u LPF от 0,4 В до 1,4 В не превысило 10%.
Рисунок 3.28 – Зависимость I UP , I DN от u LPF
На рисунке 3.29 представлено семейство кривых, соответствующих разности I UP ,
I DN , полученных при тех же условиях. Разность токов IUP  I DN не превышает
±5%.
Рисунок 3.29 – Зависимость IUP  I DN ,
от u LPF
На рисунке 3.30 представлены результаты моделирования блока фазового
детектора во временной области при трех значениях температуры. Здесь «Uref»,
«Udiv» – сигналы на выходе генератора опорного сигнала и блока делителя
соответственно; «Uup», «Udn» – выходные сигналы фазового детектора; «V0.p» –
выходной ток цепи накачки icp (t ) ; «net7», «net11» – сигналы на входе ключей
цепи накачки. В момент включения обоих источников тока наблюдается эффект
перераспределения заряда паразитных емкостей, преимущественно источника
126
тока накачки. Как следствие, длительность заднего фронта импульса тока icp (t )
составила около 400 пс. Длительность переднего фронта icp (t ) равна примерна
45 пс. Задержка в тракте «фазовый детектор – цепь накачки» не превышает
220 пс.
Рисунок 3.30 – Эпюры напряжений и токов в узлах блока фазового детектора и
цепи накачки; u LPF  0,9 В
Анализ шумовых свойств проводился путем моделирования в режиме «noise» при
условии, что один из ключей находится в открытом состоянии. Результаты
приведены на рисунке 3.31. В интересующей полосе частот превалирующим
оказался фликкер-шум.
Рисунок 3.31 – Спектральная плотность тока накачки I UP
127
Следующим критичным блоком является делитель частоты, а именно, выходной
сигнал прескалера. Девиация параметров прескалера при изменении температуры
может приводить к ложным срабатыванием. Как следствие, происходят срывы
синхронизации и потеря данных. На рисунке 3.32 приведены эпюры напряжений
в основных узлах схемы при наиболее неблагоприятном значении температуры.
Перечислим типы сигналов на рисунке в направлении сверху–вниз: выходной
сигнал ГУН, прескалера, преобразователя дифференциального сигнала в
небалансный, выходной сигнал делителя частоты. Суммарная задержка составила
около
1,47 нс,
полный
размах
дифференциального
сигнала
–
500 мВ,
минимальный размах – 300 мВ.
Рисунок 3.32 – Эпюры напряжений в узлах блока делителя частоты; температура
равна минус 40°С
Неравномерность
амплитуды
выходного
сигнала
прескалера
вызвана
синусоидальным сигналом на его входе. По мере прохождения на выход схемы,
неравномерность амплитуды уменьшается. Выше было отмечено, что вследствие
отклонения технологических параметров процесса изготовления микросхем и
влияния температуры характеристика генератора, управляемого напряжением,
может отклоняться от заданных значений. Для компенсации был введен набор
128
дополнительных конденсаторов для грубой перестройки по частоте. Всего
подключается
четыре
конденсатора,
что
позволяет
задать
шестнадцать
поддиапазонов, представленных на рисунке 3.33.
Рисунок 3.33 – Характеристика перестройки ГУН
Также был проведен анализ шумовых свойств ГУН. Спектральная плотность
фазовых шумов генератора представлена на рисунке 3.34. Здесь видим, что
основной вклад дают две компоненты шума. Первая – имеет спад около 30 дБ/дек,
вторая – белый шум с предельным значением около 145 дБн/Гц.
Рисунок 3.34 – Спектральная плотность фазовых шумов ГУН
Далее было проведено моделирование всего синтезатора частот во временной
области. На рисунке 3.35 показана зависимость управляющего напряжения ГУН
u LPF от времени. Время переходного процесса составило около 20 мкс, что
129
немного больше, чем данные рисунка 3.21. Моделирование фазовых шумов на
транизисторном уровне не проводилось.
Рисунок 3.35 – Зависимость u LPF t  при перестройке с 2,40 ГГц до 2,44 ГГц
3.4 Выводы
1) В соответствии с предложенной методикой и заданными требованиями на
время перестройки, уровень фазовых шумов и нелинейных искажений был
проведен синтез и расчет параметров синтезатора частот и выделены основные
требования к блокам устройства.
2) Проведено моделирование во временной и частотной областях в среде
Simulink. В ходе моделирование было показано, что нелинейность характеристики
ГУН влияет на динамические характеристики устройства. Так, при перестройке с
2,48 ГГц до 2,44ГГц длительность переходного процесса составила около
17,2 мкс, а при перестройке с 2,44 ГГц до 2,4ГГц – 18,5 мкс. То есть время
переходного процесса увеличилось на 7 %. При перестройке с 2,48 ГГц до
2,40 ГГц разница в длительности переходных процессов составила 25 % – с
17,2 мкс до 23,5 мкс. Данный эффект объясняется следующим образом.
Обращаясь к рисунку 3.33, видим, что наибольшая крутизна характеристики
управления ГУН достигается при наименьшей частоте генерации и наоборот.
Следовательно, при перестройке с большей частоты на меньшую, полоса
пропускания замкнутой петли ФАПЧ меньше. Как следствие, u LPF t  не успевает
достигнуть статического значения. При этом ошибка между фазами сигналов на
130
входе ФД превышает 2 и скачком принимает значение близкое 0. Ввиду
сравнительно небольшой разницы между частотами сравниваемых сигналов,
требуется дополнительное время, чтобы процесс перестройки продолжился.
3) Анализ в частотной области показал наличие в спектре выходного сигнала ГУН
паразитных гармоник. Относительный уровень гармоник составил 89 дБн на
частоте 2,40 ГГц и 96 дБн на 2,44 ГГц. Изменение уровня гармоник при сдвиге к
краям частотного диапазона определяется двумя факторами: увеличением
статической разности токов в ЦН и крутизной характеристики управления ГУН в
рабочей точке. Так, при частоте несущего колебания
f c равной 2,44 ГГц
нормированные амплитуды гармоник на частотах 2,42 ГГц и 2,46 ГГц составили
96 дБн при значении TVCO около 80 МГц/В. При f c  2,4 0 ГГц – 89 дБн, на
частотах 2,38 ГГц и 2,42 ГГц при TVCO – примерно 129 МГц/В.
131
4 Экспериментальное исследование разработанного синтезатора частот
4.1 Топология кристалла микросхемы
В третьей главе были разработаны блок фазового детектора, цепь накачки,
генератор, управляемый напряжением, делителя частоты и дельта-сигма
модулятор. Также было проведено функциональное моделирование в среде
Simulink в частотной и временной областях и моделирование на транзисторном
уровне во временной области в среде Cadence Virtuoso. Совпадение результатов
моделирования, проведенное различными способами, позволяет перейти к
разработке топологии микросхемы и провести экстрагирование паразитных
параметров микросхемы, учитывающих влияние подложки кристалла.
Этап разработки топологии микросхемы, получивший на практике и в
литературе название «back-end», относится к процедуре проверки устройства на
физическом уровне. На этом этапе с использованием автоматизированных средств
разработки электронных устройств разрабатываются технологические маски,
используемые при производстве микросхем. Количество, наименование и
назначение масок определяется технологическим процессом каждой конкретной
фабрики и может изменяться при использовании различных технологических
норм. Набор данных масок в совокупности с правилами производства определяют
топологию микросхемы. Как правило, фабрики предоставляют набор документов
и файлов с описанием характеристик параметризованных ячеек и особенностей
технологического
процесса,
называемый
промышленным
комплектом
конструкторских документов. Под параметризованной ячейкой подразумеваются
элементарные компоненты, например, транзисторы или резисторы, отдельные
параметры которых задаются разработчиком. После формирования топологии
кристалла
микросхемы
производства
и
сверка
проводится
проверка
физического
и
на
соответствие
схемотехнического
правилам
уровня.
При
выполнении указанных требований переходят к экстрагированию паразитных
элементов, связанных с реальным сопротивлением металлических слоев,
переходных
отверстий,
поликремния,
легированных
областей,
наличем
паразитных емкостей, как между перекрывающимися слоями металлов, так и
между
132
боковой
частью
металлических
проводников,
поликремния,
т.е.
учитывается трехмерная структура кристалла. Далее проводится моделирование
на физическом уровне с учетом экстрагированных паразитных компонентов. При
положительном исходе, выполнении требований технического задания на
устройство, переходят к формированию файлов в формате GDS (аббр. «graphic
database
system»).
Этот
формат
данных
используется
на
фабрике
для
производства. В рассматриваемом нами случае при проектировании микросхемы
был использован комплект конструкторских документов для КМОП-технологии
1P6M с двумя карманами (англ. «Twin-well») с минимальной длиной канала МОП
транзистора
180 нм
фабрики
UMC,
Тайвань.
Основные
параметры
технологического процесса приведены в таблице 4.1.
Таблица 4.1 – Основные характеристики технологического процесса
Параметр
Значение
Тип подложки
p-тип
Сопротивление области N-кармана,
415,
поликремния p/n-типа, активной области p/n-типа с формированием
8/8, 8/8,
Ед. изм.
Ом/квадрат,
(0,24/0,24 мкм2)
силицида,
поликремния
p/n-типа,
активной
области
p/n-типа
без
80/158, 113/352
формирования силицида
Размер пластины
Сопротивление высокоомного резистора
8
1039
дюйм
Ом/квадрат,
(0,24/0,24 мкм2)
Емкость конденсатора типа «метал-метал»
1
Ом/квадрат,
(0,24/0,24 мкм2)
Использование двух карманов/ трех карманов/ изолятора с большей
Да/ Да/ Да
толщиной для работы с напряжениями питания до 3,3 В
Количество слоев поликремния/ металла/ тип металла
1P / 6M/ Al
Минимальное расстояние между центрами двух металлических
0,48/ 0,56/ 0,56/
проводников на одном слое 1/2/3/4/5/6
0,56/ 0,56/ 0,88
Минимальная длина канала МОП транзистора обычного/ 3,3 В
Минимальная ширина МОП транзистора
Рабочее напряжение питания
Количество масок, макс.
мкм
0,18/ 0,34
мкм
0,24
мкм
1,8 / 3,3
35
В
133
Задержка одного каскада в кольцевом генераторе обычный
27/ 55
пс
транзистор 1,8 В/ для напряжений питания до 3,3 В
Минимальное расстояние между центрами двух металлических
2,2
мкм
20
кА
49
ГГц
34
ГГц
проводников на последнем слое металла при использовании СВЧ
компонентов
Толщина последнего слоя металла при использовании СВЧ
компонентов
Частота единичного усиления по току
fT
при плотности тока
300 мкА/мкм
Частота единичного усиления по мощности
f max
при плотности
тока 300 мкА/мкм
Таким образом, в третьей главе на основе пакета конструкторских документов
была разработана схема синтезатора частот на схемотехническом уровне в
программном пакете Cadence Virtuoso Schematic Editor. Моделирование на этом
уровне проводилось на основе платформы Virtuoso Analog Design Environment c
использованием симулятора электрических цепей Virtuoso Spectre Circuit
Simulator. Далее была разработана топология кристалла микросхемы в пакете
Virtuoso XL-Layout Editor. Рассмотрим вид основных блоков на уровне топологии.
На рисунке 4.1 приведена структура блока фазового детектора. Устройство
состоит из тридцати транзисторов. Предварительно напомним, что КМОП
транзисторы являются устройствами с четырьмя выводами: затвор, сток, исток и
корпус. Корпусом для n-транзисторов служит подложка кристалла, для pтранзисторов область n-кармана. Здесь отметим особенность использования двух
карманов. В отличие от обычной технологии с одним карманом, когда подложка
кристалла сильно легирована атомами акцепторов или доноров, в данном случае
подложка имеет слабое легирование. Такой подход позволяет уменьшить разницу
между характеристиками p- и n-транзисторов, вызванную процессом смены типа
проводимости подложки. При этом карманы p-типа оказываются электрически
соединенными с подложкой. Тем не менее, ввиду того, что материал подложки
имеет сопротивление, необходимо обеспечить низкоомный контакт между
шинами питания и корпусами, стоками или истоками транзисторов. На
134
представленном рисунке подразумевается, что белый фон соответствует
подложки кристалла p-типа. В верхней части расположены транзисторы p-типа, в
нижней части – n-типа. Корпус каждого транзистора выделен прямоугольной
рамкой и соответствует либо подложке, либо карману n-типа, как было сказано
выше. Темно-красным цветом выделены активные области или области
диффузии, определяющие свободную от защитного оксидного слоя площадь
кристалла. Оксидный слой служит для изоляции транзисторов друг относительно
друга. Каждая область диффузии ограничена прямоугольной рамкой, меньшей по
размеру, чем корпус транзистора, определяющей тип легирования активной
области.
Рисунок 4.1 – Топология блока фазового детектора
Области
легирования
атомами
доноров
выделены
графически
только
прямоугольными рамками. Области легирования атомами акцепторов – не имеют
четкой внешней границы, но имеют заполнение в виде треугольников светлофиолетового цвета. Области соединения корпусов транзисторов с шиной питания
отображены в виде квадратных площадок по краям устройства. Для улучшения
проводимости площадки легированы атомами того же типа, что и корпуса
транзисторов. Затворы транзисторов, выполненные из поликремния, выделены
синим цветом. Области металла первого слоя представлены в виде полосок с
перфорацией светло-синего цвета. Таким же образом отображен металл второго
слоя. Только цвет изменен на желтый. Переходные отверстия между металлом
первого слоя и затворами, стоками и истоками транзисторов выделены зеленым
цветом. Переходные отверстия между металлами обозначены квадратными
площадками. Цвет площадок определяется цветом самого нижнего из двух
135
соединяемых слоев металлов. Ширина проводников, количество переходных
отверстий
между
слоями
активной
области,
поликремния
и
металлов
определяются допустимыми резистивными потерями и влиянием эффекта
электромиграции. Ключевыми характеристиками здесь выступают сопротивление
Rsq квадратной площадки материала, выраженное в единицах Ом/квадрат и
максимальная плотность тока J M в расчете на ширину материала мА/мкм. Под
квадратной площадкой подразумевается площадь материала с размерами,
равными минимальной длине lmin канала МОП транзистора, если не оговорено
другое. Например, в нашем случае максимальная амплитуда тока I inv при
переключении инвертора составила 120 мкА. Ширина проводника wM задана
равной 0,4 мкм. Типичным значением максимальной плотности тока для
алюминия считается величина равная 20 мА/мкм для переменного тока.
Максимально допустимый ток рассчитаем как:
I max  I inv J M  0,4  20  8 мА.
Длина l наиболее протяженного участка электрической линии равна примерно
20 мкм. Типичное значение
Rsq составляет около 40 мОм/квадрат. Тогда,
сопротивление участка линии составит:
R  Rsq
l l min
83
 0,04 
 2 Ом.
l min wM
1,6
Таким образом, выполнено требование по уменьшению влияния эффекта
электромиграции. Сопротивление электрических линий не превышает двадцати
Ом, что является достаточно хорошим результатом. Отметим, что здесь учтено
контактное сопротивление между затворами транзисторов и переходными
отверстиями, собственное сопротивление переходных отверстий. Линейные
размеры устройства составили 24,5х7 мкм2. На рисунке 4.2 приведена структура
блока
цепи
накачки.
Линейные
размеры
90,6х67,2 мкм2.
Количество
использованных транзисторов равно сорока двум. Выбранный масштаб не
позволяет рассмотреть в деталях топологию схемы. Здесь важно отметить
следующее.
В
схеме
используются
пять
фильтрующих
конденсаторов,
136
изображенных на рисунке в виде прямоугольников светло-коричневого цвета.
Несмотря на то, что емкость самого большого конденсатора не превышает 1 пФ,
занимаемая им площадь превышает размеры части схемы, состоящей только из
транзисторов. Поэтому при проектировании схемы и минимизации площади
кристалла следует разумно задавать номиналы конденсаторов.
Рисунок 4.2 – Топология блока цепи накачки
Так как данный блок относится больше к аналоговым схемам, то здесь были
использованы транзисторы с шириной существенно больше минимальной.
Например, ширина транзисторов n-типа составила 4 мкм при минимальной –
0,24 мкм. Для уменьшения занимаемой площади и минимизации паразитных
емкостей транзистор был сформирован в виде параллельного соединения
транзисторов с шириной 500 нм. Окончательный вид представлен в правой части
рисунка. Отсюда видно, что соседние транзисторы имеют общие истоки или
стоки. Объединение общих стоков или истоков позволяет уменьшить общую
площадь. На рисунке 4.3 приведена структура блока дельта-сигма модулятора.
Размеры устройства составили 139,1х48,2 мкм2. Всего было использовано 1,5 тыс.
транзисторов. Тем не менее, занимаемая площадь практически равна площади
блока цепи накачки. Схема полностью построена на основе цифровой
137
схемотехники, что позволило использовать транзисторы чуть большего размера,
чем минимально допустимые, и тем сам уменьшить площадь устройства.
Рисунок 4.3 – Топология блока дельта-сигма модулятора
Полная структура синтезатора частот приведена на рисунке 4.4. По краям
кристалла расположены шестнадцать металлизированных площадок, называемых
пэдами. Данные площадки используются для электрической связи между
кристаллом микросхемы и внешними цепями, а также для проведений измерений
на кристалле с помощью зондовой станции. Размеры площадок определяются как
электрическими характеристиками, так и способом сварки монтажной проволоки
и пэдов. В нашем случае предполагается использовать ультразвуковую паяльную
станцию с алюминиевой проволокой диаметром 25 мкм. В этом случае
контактное пятно может уширяться до двух диаметров используемой проволоки.
В связи с этим размеры пэдов были заданы равными 65х65 мкм2. Между
контактными площадками и внутренними цепями схемы по контуру кристалла
расположены цепи защиты от электростатических разрядов и шины питания. В
верхней правой части кристалла расположены блоки делителя частоты, фазового
детектора, цепи накачки, дельта-сигма модулятора и вспомогательные цепи.
Большая часть площади кристалла занята ГУН. На рисунке две области,
выделенные темно-коричневым цветом и изображенными на них спиралями,
соответствуют индуктивностям колебательного контура ГУН. Между ними
расположены основной и подстроечные конденсаторы, схемы управления и
активные цепи генератора. Ниже индуктивностей расположен блокирующий
138
конденсатор, состоящий из девяти параллельно включенных блоков. Выше
индуктивностей по обеим сторонам размещены разделительные конденсаторы
между блоками делителя частоты и преобразователем дифференциального
сигнала в небалансный. Последний расположен в верхней левой части кристалла.
Рисунок 4.4 – Топология синтезатора частот
Общая площадь устройства составила 1,15х1,41 мм2. При этом было использовано
3063 транзистора. Питание микросхемы осуществляется от однополярного
источника напряжения с номинальным значением 1,8 В. Перечислим назначение
контактных площадок, начиная с верхней левой и двигаясь далее по периметру в
направлении против часовой стрелки. Первая и третья площадки подключаются к
нулевому выводу источника питания. Вторым идет выход с преобразователя
дифференциального сигнала ГУН в небалансный. Четвертый и пятый пэды
подключаются к нулевому и положительному выводам источника питания. На
шестой контакт заводится сигнал с выхода внешнего фильтра нижних частот.
Выводы с седьмого по десятый используются для подачи сигналов управления
подстроечными конденсаторами ГУН «k4», «k3», «k2», «k1» соответственно.
Одиннадцатый «MD0» и тринадцатый «MD1» выводы используются для выбора
диапазона сканирования. В таблице 4.2 приведен перечень возможных сигналов
управления и соответствующий им диапазон сканирования. Двенадцатый вывод
«STRT» используется для запуска процесса формирования ЛЧМ колебания. По
139
достижению верхней частоты диапазона система возвращается на начальную
частоту.
Таблица 4.2 – Соответствие между управляющими сигналами и диапазоном
сканирования
«MD1»
«MD0»
Диапазон
0В
0В
2,40 – 2,44 ГГц
0В
1,8 В
2,44 – 2,48 ГГц
1,8 В
0В
2,40 – 2,48 ГГц
1,8 В
1,8 В
Формирование ВЧ колебания с частотой 2,4 ГГц, сигнал на выводе
«STRT» игнорируется
На четырнадцатый вывод поступает выходной сигнал цепи накачки. К
пятнадцатой площадке подключается положительный вывод источника, на
шестнадцатый – заводится опорный сигнал внешнего генератора с частотой
20 МГц.
4.2 Моделирование синтезатора на физическом уровне
Выше были представлены результаты разработки топологии микросхемы в
пакете
Virtuoso
XL-Layout
Editor,
т.е.
был
сформирован
набор
фотолитографических масок. Описание наименований, типов и структуры масок
сохраняется в виде GDS файлов, используемых на фабриках для изготовления
образцов. Однако непосредственный переход к последним двум действиям
невозможен по следующим причинам. Во-первых, проектирование топологии
должно выполняться в соответствии с правилами производства, связанными с
особенностями технологического процесса. Процедура проверки на соответствие
данным правилам называется DRC (англ. «design rule check»). Во-вторых,
успешное
прохождение
процедуры
DRC
не
гарантирует
корректное
функционирование устройства. Причина заключается в том, что полученная
структура
на
физическом
схемотехническим
аналогом.
уровне
не
Поэтому,
обязательно
после
совпадает
прохождения
со
своим
проверки
на
соответствие требованиям производства, переходят к проверке на идентичность
принципиальной схемы и полученной структуры. Данная процедура называется
LVS
140
(англ.
«layout
versus
schematic»).
В-третьих,
влияние
паразитных
сопротивлений, емкостей и индуктивностей может ухудшить характеристики
устройства или привести к его неработоспособности. В связи с этим, проводят
экстрагирование паразитных компонентов, связанных с трехмерной структурой
МОП-компонентов и электрических линий. На рисунке 4.5 приведена упрощенная
структура МОП транзистора n-типа, выполненного на слабо-легированной
подложке p-типа. Также приведены соединительные электрические линии,
проведенные на первом и втором слое металла. Цветовые обозначения идентичны
рисунку 4.1 за исключением слоев оксида кремния «GOX» (англ. «gate oxide») и
«FOX» (англ. «field oxide»). Оба слоя в программном пакете обычно не
отображается. Первый – покрывает обычно всю площадь кристалла и
используется для изоляции затворов транзисторов. Второй слой, с существенно
большей толщиной, для изоляции транзисторов друг относительно друга.
Рисунок 4.5 – Упрощенная структура МОП-транзистора с типичными
паразитными компонентами
Слои поликремния и металлов имеют конечную толщину обычно около 10 кÅ.
Учитывая большую протяженность соединительных линий в микросхеме,
необходимо учитывать паразитную емкость, образованную боковой стенкой
проводников и подложкой кристалла. На рисунке данные емкости содержат
латинские буквы «fr» (англ. «fringe») в индексе, например, CM 1_ fr , т.е. паразитная
торцевая емкость линии, проведенной на первом слое металла. Верхние и нижние
стенки проводников также имеют емкостную паразитную связь с подложкой
141
кристалла, и их индекс содержит «pl» (англ. «plate»). Перекрывающиеся слои
металла можно рассматривать как обкладки конденсатора. Эквивалентная
паразитная емкость, соответствующая этому случаю, обозначена на рисунке как
CM 1_ M 2 _ pl . Область контакта переходного отверстия с поликремнием или активной
областью вносит омические потери, учитываемые в виде последовательно
включенного резистора Rsq _ con в электрическую схему. Омические потери в
поликремние или металле учитываются введением резисторов Rsq _ poly и Rsq _ M 1
соответственно.
Таким
образом,
в
процессе
экстрагирования
реальные
проводники разбиваются на отрезки. Для каждого отрезка рассчитывается
эквивалентное сопротивление и емкость. Далее проводится моделирование с
учетом новых паразитных компонентов и сравнивается с результатами,
полученными
на
предыдущих
этапах.
При
недопустимом
отклонении
характеристик устройства от заданных, вносятся поправки на уровне физической
структуры или схемотехники. В рассматриваемом случае проверка топологии
кристалла на соответствие требованиям производства и принципиальной схеме
проводилась в пакете Assura LVS/DRC. Экстрагирование проводилось в пакете
Assura RCX. Далее было выполнено повторное моделирование c учетом
физической структуры кристалла микросхемы в пакете Assura Analog Design
Environment. На рисунке 4.6 приведены зависимости токов накачки и разряда,
полученные при температурах минус 40°С, 27°С, 60°С. Из-за влияния паразитного
сопротивления контактов и проводников, кривые, соответствующие току накачки,
сместились ниже на несколько микро ампер. При этом в указанном диапазоне
температур отклонение абсолютных значений токов от номинальных, в пределах
рабочих напряжений u LPF , не превышает 10%. На рисунке 4.7 показаны
зависимости разности токов, полученные при тех же условиях. Уменьшение тока
накачки привело к смещению кривых относительно нуля. Однако отклонение
находится в пределах 5%. Результаты моделирования во временной области
блоков ФД и ЦН приведены на рисунке 4.8. Задержка между передним фронтом
142
импульса на входе ФД и импульсом тока на выходе ЦН увеличилась на 42 пс с
204 пс до 246 пс.
Рисунок 4.6 – Зависимость токов накачки и разряда от температуры
Длительность импульса сброса увеличилась на 36 пс с 296 пс до 332 пс,
рассогласование между плечами схемы – с 10 пс до 30 пс.
Рисунок 4.7 – Разность токов накачки и разряда
В целом полученные характеристики блоков ФД и ЦН соответствуют заданным.
Следовательно, внесение изменений на уровне схемотехники или топологии не
требуется.
Моделирование
блока
ГУН,
напротив,
показало
смещение
характеристик в среднем на 150 МГц. В результате номинал емкости С10 (рис.
3.7) был уменьшен с 629 фФ до 580 фФ. Окончательная характеристика ГУН для
управляющих сигналов «k1», «k4» равных 0 В и «k2», «k3» равных 1,8 В
приведена на рисунке 4.9. При низкой температуре характеристика ГУН
смещается примерно на 11 – 19 МГц относительно случая, соответствующего
143
нормальным условиям. Тем не менее, работа устройства возможна и без
изменения номиналов компенсирующих конденсаторов.
Рисунок 4.8 – Эпюры напряжений и токов в узлах ФД и ЦН
Семейство характеристик перестройки ГУН при всех значениях компенсирующих
конденсаторов показано на рисунке 4.10.
Рисунок 4.9 – Характеристика ГУН при температуре минус 40°С, 27°С, 60°С
Суммарный перекрываемый диапазон частот составляет около 470 МГц от
2,18 ГГц
до
2,65 ГГц,
что
позволяет
компенсировать
все
отклонения
144
характеристики перестройки ГУН, связанные с температурой и технологическими
допусками.
Рисунок 4.10 – Характеристика ГУН при различных значениях компенсирующих
конденсаторов
Влияние нелинейности варикапа в цепи ГУН приводит к нелинейной зависимости
амплитуды выходного сигнала синтезатора от управляющего напряжения ГУН.
Изменения амплитуды в зависимости от величины u LPF и значений подстроичных
конденсаторов показаны на рисунке 4.11.
Рисунок 4.11 – Зависимость амплитуды uc t  от u LPF
Типичное значение разности амплитуд на краях рабочего диапазона u LPF лежит в
пределах 20 мВ, что считается допустимым. На рисунке 4.12 представлены эпюры
напряжений в узлах блока делителя частоты, полученные при температуре минус
145
40°С. Проводя сравнение с данными рисунка 3.32, видим, что характеристики
блока изменились незначительно. Так задержка сигнала в прескалере составила
около 51 пс, в высокочастотной части блока задержка увеличилась на 16 пс с
84 пс до 100 пс, в низкочастотной части – на 27 пс с 264 пс до 291 пс и на 294 пс с
1086 пс 1380 пс соответственно. При температуре 60°С – 62 пс, 150 пс, 315 пс и
1610 пс.
Рисунок 4.12 – Эпюры напряжений в узлах блока делителя частоты
Выше было отмечено, что синусоидальный сигнал на входе делителя приводит к
неравномерности амплитуды выходных импульсов делителя. Неравномерность
проявляется в виде провала напряжения. Если напряжение в области провала
будет ниже 70 мВ, то работа устройства будет нарушена. Появляются ложные
срабатывания триггеров, что приводит к фиксированному коэффициенту равному
четырем. При температуре 27°С провал напряжения составляет около 200 мВ, при
минус 40°С и 60°С – 150 мВ и 250 мВ соответственно. Таким образом, при
указанных условиях обеспечивается правильное функционирование блока
делителя частоты. Проверка характеристик всего синтезатора частот проводилась
146
путем моделирования во временной области. На рисунке 4.13 показана
зависимость управляющего напряжения u LPF от времени при перестройке с
частоты 2,44 ГГц на частоту 2,40 ГГц.
Рисунок 4.13 – Зависимость u LPF t  при перестройке с 2,44 ГГц до 2,40 ГГц
Длительность переходного процесса составила 17,8 мкс, что на 3,8 % меньше, чем
данные рисунка 3.27. Указанная разность объясняется небольшими различиями
между параметрами моделей и их аналогами на схемотехническом уровне.
Например, статической разностью токов накачки, разряда и характеристиками
ГУН. Спектр мощности выходного сигнала синтезатора uc t  изображен на
рисунке 4.14.
Рисунок 4.14 – Спектр мощности uc t  на частоте 2,40 ГГц
В
147
спектре
присутствуют
паразитные
гармоники
на
частотах
f c  f ref .
Относительный уровень гармоник равен 88,6 дБн. Отличие от результатов
моделирования в среде Simulink (см. рисунок 3.24) составило 1,4 дБ, что является
приемлемым на практике.
4.3 Анализ
влияния
технологических
допусков
на
характеристики
синтезатора
Известно,
что
в
процессе
изготовления
микросхем
происходит
варьирование, как параметров материалов, так и параметров технологического
процесса
в
ограниченных
пределах,
определяемых
производственными
допусками. При этом характеристики устройств варьируются не только от партии
к партии, но и от одного устройства к другому, расположенных на одной пластине
кремния. В качестве примера рассмотрим следующую ситуацию. Возьмем два
близкорасположенных транзистора с одинаковыми геометрическими размерами.
Характеристики транзисторов, изготовленных на разных пластинах, будут
отличаться, например, из-за варьирования параметров процесса выращивания
подложек. Характеристики транзисторов, расположенных на одной пластине,
будут расходиться из-за градиента толщины оксидного слоя, глубины диффузии,
неоднородности краев устройств, вследствие травления. Таким образом, даже
близко
расположенные
элементы
не
будут
обладать
одинаковыми
характеристиками. Общие соображения приводят к выводу, что разброс
характеристик устройств, изготовленных в результате одного технологического
цикла, существенно меньше, чем в случае различных технологических циклов.
Отсюда в интегральной технике используются два подхода для прогнозирования
отклонений параметров реальных устройств от заданных: метод Монте-Карло и
корнеров. Анализ на основе метода Монте-Карло основан на статистических
данных. В этом случае на производстве проводятся измерения отклонений
характеристик различных образцов. Совокупность результатов измерений
представляет собой реализации случайных процессов. На основании анализа
реализаций
рассчитывают
статистические
характеристики
процессов.
На
последних
148
этапах
моделирование.
разработки
Параметры
микросхемы
элементов,
проводится
параметрическое
соответствующие
измеренным,
изменяются случайным образом от одного моделирования к другому. Таким
образом, получают реализации другого случайного процесса, анализ которого
позволяет оценить выход годных устройств. Недостатком подхода является
необходимость многократного проведения моделирования (обычно 100-200 раз),
что ведем к существенному увеличению затрачиваемых вычислительных
ресурсов и времени. Метод корнеров также основывается на экспериментальных
измерениях. Однако в этом случае рассматриваются только предельные и
типичные значения параметров. Из-за ограниченного набора значений время
моделирования существенно уменьшается по сравнению с методом Монте-Карло.
Недостатком является отсутствие прямой возможности проверки схем, критичных
к симметрии цепей. Несимметричность устройств учитывается только на уровне
топологии и схемотехники. Обычно на практике используют какой-либо один
подход. Для дальнейшего анализа был выбран метод корнеров. Действуя по
аналогии, начнем рассмотрение с блока цепи накачки. В состав устройства входят
только транзисторы и конденсаторы. Последние служат для фильтрации
напряжения смещения транзисторов и достаточно инварианты к изменениям
номиналов.
Для
макропараметр,
управления
определяющий
моделью
транзисторов
подвижность
носителей
используется
заряда,
с
один
пятью
возможными значениями: «tt» (англ. абр. typical, typical), «ss» (англ. абр. slow,
slow), «ff» (англ. абр. fast, fast), «fnsp» (англ. абр. fast n-mop, slow p-mop) и «snfp»
(англ. абр. slow n-mop, fast p-mop). Так «tt» означает задание типичного значения
подвижности для p- и n-транзисторов, «ff» – максимально возможные значения,
«ss» – минимальные, «fnsp» – максимальное значение для n-транзисторов и
минимальное для p-транзисторов, «snfp» – минимальное и максимальное для n- и
p-транзисторов соответственно. Окончательный набор зависимостей токов
накачки и заряда, полученных при температурах минус 40°С, 27°С, 60°С и
значениях корнеров «ss», «ff», «fnsp», «snfp», приведены на рисунке 4.15.
149
Рисунок 4.15 – Зависимости токов накачки и заряда от u LPF при различных
значениях температуры и корнеров
Здесь выделяются два наиболее критичных случая при значениях корнеров «ff» и
«ss». Отклонение абсолютных значений токов от заданных не превышает 50%.
Разность токов, показанных на рисунке 4.16, находится в пределах 5%.
Рисунок 4.16 – Разность токов накачки и заряда
Оба рисунка позволяют сделать следующие выводы. Считаем, что фильтр был
рассчитан на типичное значение тока ЦН. Коэффициент деления составляет
порядок сотни. Учитывая выражение (2.5), при увеличение тока в полтора раза
полоса пропускания передаточной функции Tcl должна увеличится примерно в
полтора раза. Однако при этом уменьшается запас по фазе петли. Поэтому,
150
ожидается незначительный рост времени перестройки. В другом случае ток
уменьшается в два раза, как и полоса пропускания передаточной функции Tcl . При
этом запас устойчивости системы увеличивается. Отсюда время установления
также должно увеличиться примерно в два раза. Уровень фазовых шумов со
стороны ГУН и ЦН в первом случае должен опуститься на несколько децибел. Во
втором случае подняться на несколько децибел. Так как разница токов остается на
прежнем уровне, то увеличение побочных гармоник на частотах сравнения не
ожидается. Если изменение указанных характеристик критично, то достаточно
произвести перерасчет элементов ФНЧ. Таким образом, работоспособность схемы
сохраняется при предельных значениях допусков. Другим блоком, критичным к
технологическому
процессу,
является
делитель
частоты.
Наихудшие
характеристики делитель показывает при отрицательной температуре. На рисунке
4.17 приведены эпюры напряжений при значениях корнеров «ss», «ff», «fnsp» и
«snfp».
Рисунок 4.17 – Эпюры напряжений в узлах блока делителя частоты при четырех
значениях корнеров и температуре минус 40°С
Напряжение на выходе ВЧ части делителя не опускается ниже 100 мВ, т.е.
остается запас около 30 мВ или 10% от типичного значения. Напряжения в других
узлах
остаются
в
допустимых
пределах
и
имеют
больший
запас
помехоустойчивости. На блок ГУН влияние допусков оказывает два основных
151
эффекта: нарушение условий генерации и смещение характеристики перестройки
выше или ниже требуемого диапазона частот. На рисунке 4.18 приведены
характеристики перестройки, построенные при минимальном и максимальном
значении емкости и индуктивности. Характеристика ГУН изменяется в пределах
265 МГц – от 2,38 ГГц до 2,64 ГГц. При этом компенсирующие конденсаторы
позволяют перекрыть данный диапазон с существенным запасом.
Рисунок 4.18 – Характеристика перестройки ГУН при минус 40°С, 27°С и 60°С
Напомним, что согласно данным рисунка 4.10 диапазон перекрытия по частоте
составляет порядка 470 МГц от 2,18 ГГц до 2,65 ГГц. Моделирование во
временной области при указанных температурах и различных параметрах
транзисторов показали работоспособность схемы и для краткости изложения
здесь не приводятся.
4.4 Проведение измерений
4.4.1 Разработка тестовой платы
Как было отмечено выше, разработанная микросхема была изготовлена на
фабрике UMC по программе «Европрактика» [4.1]. На рисунке 4.19 приведена
микрофотография изделия, отличающаяся наличием дополнительной верхней
части относительно рисунка 4.4. На одном кристалле с синтезатором частот
расположен блок приемника, рассмотрение характеристик которого выходит за
152
рамки данной работы. Окончательные размеры кристалла с учетом гарантийного
пояска составили 1,55х2,2 мм2. Обычно измерения характеристик устройства
можно проводить непосредственно на кристалле с использованием зондовой
станции. В нашем случае полная проверка функционирования устройства требует
подключения внешнего фильтра нижних частот. В связи с этим, была разработана
тестовая плата, позволяющая проводить измерения, как блока синтезатора частот,
так и блока приемника.
Рисунок 4.19 – Фотография изготовленной микросхемы
Упрощенная принципиальная схема платы представлена на рисунке 4.20. Питание
осуществляется от внешнего источника с однополярным напряжением 5 В.
Рабочее напряжение микросхемы задается с помощью стабилизатора «DA1» с
номинальным
выходным
значением
(1,8±1%) В.
Типичное
значение
среднеквадратичного шумового напряжения на выходе стабилизатора в полосе
10 Гц – 100 кГц составляет 9 мкВ. В качестве опорного сигнала на плате
используется кварцевый генератор «G1» с частотой 20 МГц. Отклонение по
частоте генератора в диапазоне температур от минус 40°С до +50°С не превышает
50 ppm. Уровень фазовых шумов на частоте 1 кГц – не более минус 145 дБн/Гц,
на 100 кГц – не более 158 кГц, уровень «белого» фазового шума – не более минус
161 дБн/Гц. Конденсаторы «С14» – «С16» и резисторы «R3», «R4» образуют ФНЧ
синтезатора. Задание логической единицы на управляющих выводах «k1» – «k4»
осуществляется установкой резисторов «R5», «R7», «R9» и «R11». Задание
логического нуля – «R6», «R10», «R12» и «R22». Для развязки выхода
153
передатчика и входа приемника установлен ВЧ ключ «DA3». Ключ запитывается
от стабилизатора «DA5», аналогичного «DA1», но с выходным напряжением
3,3 В. На выходе ключа установлен полосовой ВЧ фильтр «ZQ1» с центральной
частотой 2,44ГГц и полосой пропускания 100 МГц. Для дополнительного
усиления выходного сигнала синтезатора опционально устанавливается усилитель
мощности «DA2» с коэффициентом усиления 19 дБ в рассматриваемой полосе
частот.
Рисунок 4.20 – Принципиальная схема печатной платы
Характеристики печатной платы представлены в таблице 4.3, окончательный вид
– представлен на рисунке 4.21. Размеры платы составили 60х81 мм2.
Таблица 4.3 – Характеристики печатной платы
Параметр
Тип диэлектрика
Значение
FR-4
Диэлектрическая проницаемость, 2,4 ГГц
3,7
Толщина медной фольги, мкм
18
Количество слоев
4
Расстояние между слоями, мм
0,3
Отметим, что отрезки линий передач, с волновым сопротивлением 50 Ом,
выполнены в виде копланарной микрополосковой линии с нижним слоем
154
заземления. Рассчитанная ширина основной линии составила 550 мкм, ширина
зазоров между линией и боковым металлом – 220 мкм.
Рисунок 4.21 – Печатная плата. Вид сверху
4.4.2 Методика измерений и описание измерительных установок
Измерение характеристик устройства во временной области проводилось с
использованием цифрового осциллографа MSO 7032B фирмы Agilent/Keysight.
Напряжение снималось с конденсатора «С16» (см. рис. 4.20) с использованием
стандартного щупа 10073D, входящего в комплект поставки осциллографа.
Характеристики обоих инструментов приводятся в таблице 4.4.
Таблица 4.4 – Основные характеристики MSO7032B и 10073D
Параметр
Значение
MSO7032B
Частота дискретизации
2  109 отсчетов/с
Полоса частот
0 – 350 МГц
Минимальное время нарастания
1 нс
Диапазон по вертикали
2 мВ/дел – 5 В/дел
Входное сопротивление
1 МОм 14 пФ или 50 Ом
Собственные шумы при 20 мВ/дел
360 мкВ
Диапазон по горизонтали
2 нс/дел – 50 с/дел
Разрешение
2,5 пс
155
10073D
Полоса частот
500 МГц
Входное сопротивление
2,2 МОм 12 пФ
Коэффициент деления по напряжению
10:1
Время нарастания
700 пс
При измерении в частотной области ВЧ сигнал синтезатора снимался
непосредственно с выхода «RF» (см. рис. 4.20) и подавался на вход анализатора
сигналов и спектра FSUP26 фирмы Rohde & Schwarz. Характеристики
анализатора сигналов приведены в таблице 4.5.
Таблица 4.5 – Основные характеристики анализатора сигналов FSUP26
Параметр
Значение
Поддерживаемые методы измерения фазовых
Измерение на основе анализатора спектра; на
шумов
снове петли ФАПЧ; на основе петли ФАПЧ и
кросс-корреляции
Диапазон частот
20 Гц – 26,5 ГГц
Разрешение по частоте
0,01 Гц
Время сканирования по частоте
1 мкс – 16000 с
Полоса пропускания БПФ-фильтров по
1 Гц – 30 кГц
уровню минус 3дБ
Чувствительность блока ФД при измерении фазовых шумов на основе петли ФАПЧ и
кросс-корреляции при частоте входного сигнала 3 ГГц
Частота отстройки
1 кГц
минус 123 дБн/Гц
10 кГц
минус 131 дБн/Гц
100 Гц
минус 139 дБн/Гц
1 МГц
минус 160 дБн/Гц
10 МГ
минус 170 дБн/Гц
30 МГц
минус 170 дБн/Гц
>30 МГц
минус 157 дБн/Гц
Погрешность измерения
Частота отстройки
100 Гц – 10 МГц
менее 1 дБ
1 Гц – 100 Гц или 10 МГц – 30 МГц
менее 3дБ
156
4.4.3 Измерение характеристик во временной области
На
рисунках
4.22–4.24
представлены
зависимости
управляющего
напряжения ГУН u LPF t  при перестройке между 2,44–2,40 ГГц, 2,48–2,44 ГГц и
2,48–2,40 ГГц соответственно.
Рисунок 4.22 – Характеристика перестройки синтезатора между 2,44 ГГц –
2,40 ГГц
Время перестройки между 2,44–2.40 ГГц согласно данным рисунка 4.22 составило
20 мкс с точностью 1 мВ.
Рисунок 4.23 – Характеристика перестройки синтезатора между 2,48 ГГц –
2,44 ГГц
Время перестройки между 2,48 –2.44 ГГц составило 19 мкс с точностью 1 мВ.
157
Рисунок 4.24 – Характеристика перестройки синтезатора между 2,48 ГГц –
2,40 ГГц
Время перестройки между 2,48–2.40 ГГц составило 24,6 мкс с точностью 1 мВ. На
рисунке 4.25 изображен участок зависимости сигнала управления ГУН от времени
при сканировании диапазона 2,40–2,44 ГГц. Длительность каждой ступеньки
составила 50 мкс согласно расчетам.
Рисунок 4.25 – Участок характеристики перестройки синтезатора при
сканировании диапазона 2,40–2,44 ГГц
4.4.4 Измерение характеристик в частотной области
Измеренные спектральные плотности фазовых шумов синтезатора частот на
частотах 2,40 ГГц и 2,44 ГГц представлены на рисунке 4.26 и 4.27 соответственно.
Проводя сравнение данных рисунков 3.26, 3.27 и 4.25, 4.26 видим, что в
158
соответствии с результатами моделирования в области частот от 1 кГц до 10 кГц
превалируют шумы цепи накачки.
R&S FSU P S ignal Sourc e A nalyzer
Settings
LO C KE D
Res idual Nois e [T 1 w/o s purs ]
Signal Frequency:
2.400023 GHz
Int P HN (1.0 k .. 30.0 M) -32.8 dBc
Signal Level:
-1.17 dBm
Residual P M
1.857 °
C ross C orr Mode
Harmonic 1
Residual FM
7.83 kHz
Internal Ref Tuned
Internal P hase Det
RMS Jitter
2.1495 ps
Spur Lis t
20.000
MHz
-74.43
dBc
P hase Noise [dBc/Hz]
RF A tten
0 dB
Top -60 dBc/Hz
Spot Noise
1.000 kHz
10.000 kHz
100.000 kHz
1.000 MHz
10.000 MHz
LoopBW
-70
-80
1 CLRWR
SMTH 1%
2 CLRWR
[T1 w/o spurs]
-81.37 dBc/Hz
-88.22 dBc/Hz -70
-85.35 dBc/Hz
-114.10 dBc/Hz
-80
-143.38 dBc/Hz
-90
-90
-100
-100
-110
-110
-120
-120
-130
-130
-140
-140
-150
-150
-160
-160
1 kHz
10 kHz
100 kHz
Frequency Offset
1 MHz
A
SPR OFF
TH 0dB
10 MHz 30 MHz
Рисунок 4.26 – Спектральная плотность фазовых шумов на частоте 2,40 ГГц
На частотах более 10 кГц основной вклад вносят шумы ГУН. В таблице 4.6
сведены данные результатов моделирования в среде Simulink и эксперимента.
R&S FS UP S ignal Sourc e A nalyzer
S ettings
LO C KE D
Res idual Nois e [T 1 w/o s purs ]
Signal Frequency:
2.440024 GHz
Int P HN (1.0 k .. 30.0 M) -33.1 dBc
Signal Level:
-1.25 dBm
Residual P M
1.788 °
C ross C orr Mode
Harmonic 1
Residual FM
6.24 kHz
Internal Ref T uned
Internal P hase Det
RMS Jitter
2.0351 ps
S pur Lis t
20.000
MHz
-79.10
dBc
P hase Noise [dBc/Hz]
RF A tten
0 dB
Top -70 dBc/Hz
Spot Noise
1.000 kHz
10.000 kHz
100.000 kHz
1.000 MHz
10.000 MHz
LoopBW
-80
-90
1 CLRWR
SMTH 1%
[T1 w/o spurs]
-80.89 dBc/Hz
-88.24 dBc/Hz -80
-85.67 dBc/Hz
-116.50 dBc/Hz
-144.99 dBc/Hz -90
-100
-100
-110
-110
-120
-120
-130
-130
-140
-140
-150
-150
-160
-160
A
2 CLRWR
1 kHz
10 kHz
100 kHz
Frequency Offset
1 MHz
SPR OFF
TH 0dB
10 MHz 30 MHz
Рисунок 4.27 – Спектральная плотность фазовых шумов на частоте 2,44 ГГц
Предварительно отметим, что рассчитанная и фактическая полоса пропускания
петли ФАПЧ f 3дБ  150 кГц. Это косвенно следует из соответствия данных
рисунков 3.26, 3.27 и 4.25, 4.26. При отстройках по частоте в пределах f 3дБ
расхождение данных не превышает 3 дБ. В области больших отстроек
159
расхождение составляет до 6 дБ, что объясняется не влиянием полосы, а
отклонением зависимости фазовых шумов ГУН от аппроксимирующей, обратно
пропорциональной кубу частоты.
Таблица 4.6 – Сводные данные результатов моделирования и эксперимента
Моделирование
Эксперимент
Частота
2,40 ГГц
2,44 ГГц
2,40 ГГц
2,44 ГГц
отстройки
(дБн/Гц)
(дБн/Гц)
(дБн/Гц)
(дБн/Гц)
1 кГц
–79
–80
–81
–81
10 кГц
–88
–88
–88
–88
100 кГц
–88
–88
–85
–86
1 МГц
–119
–120
–114
–116
10 МГц
–150
–150
–143
–145
Уровень паразитной гармоники на частоте сравнения составил минус 74 дБн на
частоте 2,40 ГГц и минус 79 дБн на частоте 2,44 ГГц. Расхождение с данными
моделирования составило 15 дБ и 17 дБ на частотах 2,40 ГГц и 2,44 ГГц
соответственно. Данное отличие можно объяснить конечным уровнем развязки
между внешними проводниками тестовой платы. Косвенно данное утверждение
подтверждается тем, что отличие в примерно 5 дБ между измеренными
значениями соответствует отличным значениям крутизны характеристики
управления в середине и на краях рабочего диапазона. В таблице 4.7 приведены
результаты работ других авторов и результаты представленной работы.
Таблица 4.7 – Сводные данные характеристик синтезаторов частот
[1.29]
[1.30]
[1.31]
[1.37]
[1.38]
В этой
(только
работе
моделирование)
180 нм
180 нм
150 нм
130 нм
180 нм
180 нм
f 3дБ
400 кГц
–
100 кГц
200 кГц
–
150 кГц
f c , ГГц
2,20–2,60
2,40–2,48
2,40–
2,00–2,49
2,40–2,48
2,4–2,48
–
35 мкс
35 мкс
Технология
2,48
 lck
–
–
–
160
Фазовый
–90
шум,
дБн/Гц
Нелинейные –39
искажения,
дБн
20 МГц
f ref
–109
–107
–112
–119
–114
–64
–
–50
–
–74
32 МГц
24 МГц
25 МГц
–
20 МГц
4.5 Выводы
1) Разработана компоновка кристалла микросхемы по 180 нм КМОП-технологии
компании UMC. Проведено моделирование микросхемы с учетом типичного
диапазона рабочих температур от минус 40°С до +60° и разброса параметров
элементов
вследствие
наличия
технологического
допуска.
Проведенное
моделирование подтвердило работоспособность схемы.
2) Изготовлены образцы микросхем синтезатора частот по программе Europractice
по 180 нм КМОП-технологии компании UMC. Проведено измерение уровня
фазовых шумов, времени перестройки и уровня нелинейных искажений шести
микросхем. Уровень фазовых шумов на несущей частоте 2,40 ГГц при отстройке
100 кГц составил не более минус 85 дБн/Гц. При этом расхождение между
теоретическими и экспериментальными данными не превышает 3 дБ.
3)
Измеренное время перестройки в частотном диапазоне 2,40–2,48 ГГц
составляет не более 25 мкс. Разница между теоретическим и экспериментальным
результатом не превышает 6%.
4) Уровень паразитной гармоники на частоте сравнения составил минус 74 дБн на
частоте 2,40 ГГц и минус 79 дБн на частоте 2,44 ГГц. Расхождение с данными
моделирования составило 15 дБ и 17 дБ на частотах 2,40 ГГц и 2,44 ГГц
соответственно.
5) Анализ результатов данной работы с результатами работ других авторов
показал, что схема обеспечивает сравнительно более низкий уровень фазовых
шумов при более низком уровне нелинейных искажений. В работах [1.29–1.31,
1.37] уровень фазовых шумов при отстройке на частоту 1 МГц составил минус
90 дБн/Гц, минус 109 дБн/Гц, минус 107 дБн/Гц и минус 112 дБн/Гц, что на 24 дБ,
161
7 дБ, 2 дБн/Гц больше, чем в представленной работе. При этом уровень
паразитной гармоники на частоте сравнения в [1.29] на 34 дБ, в [1.30] на 10 дБ, в
[1.37] на 24 дБ выше, чем в данной работе.
162
Заключение
В работе предложена методика параметрического синтеза синтезаторов
частот для беспроводных систем радиочастотной идентификации с учетом
совокупных
требований
на
длительность
времени
перестройки,
уровень
паразитных гармоник и фазовых шумов. Получены следующие основные
результаты:
1) Предложенная методика синтеза и расчета синтезатора частот с учетом
заданных спектральных и временных характеристик, включающая параметры
технологического
процесса,
позволяет
контролировать
физическую
реализуемость разрабатываемого устройства и обеспечивает аргументированный
выбор допустимых областей значений элементов синтезаторов при последующей
оптимизации характеристик устройства за счет сужения области поиска
оптимальных значений.
2) Предложенная функциональная модель синтезатора частот обеспечивает
точность расчета уровня фазовых шумов в пределах 3–6 дБ и времени
перестройки по частоте в пределах 6%. Точность расчета не уступает моделям,
предложенными другими авторами, или превосходит их. При этом учитываются
нелинейные свойства ГУН и нелинейные свойства петли ФАПЧ, проявляющиеся
в возможных срывах синхронизации при перестройке по частоте. Согласно
разработанной методики оценки спектральных и временных характеристик
синтезатора проводятся одновременно и не требует отдельных процедур, что
повышает
эффективность
процесса
моделирования
из-за
уменьшения
затрачиваемых временных ресурсов.
3) Учет разницы длительностей фронтов и спадов токов накачки и разряда в
модели позволяет повысить точность расчета уровня паразитных гармоник на
20 дБ, что подтверждается результатами моделирования на функциональном и
схемном уровнях. Значения составили 89 дБн и 88 дБн соответственно.
Расхождение результатов не превышает 3 дБ. По данным автора сравнение оценок
уровня паразитных гармоник, полученных в результате функционального
моделирования и эксперимента, проводится впервые.
163
4) Предложенная методика расчета цепей накачки с учетом токов транзисторов в
режиме слабой инверсии позволяет уменьшить уровень паразитных гармоник в
спектре выходного сигнала. Указанный эффект достигается масштабированием
транзисторов n-типа и включения в схему компенсирующих конденсаторов,
номиналы которых рассчитываются в результате выполнения разработанной
процедуры. По сравнению с известными подходами к уменьшению уровня
паразитных гармоник удается понизить уровень потребляемой мощности за счет
исключения из схемы операционного усилителя и полного выключения
источников тока в паузе между приходами фронтов импульсов опорного
генератора и делителя частоты.
В целом, по данным автора, впервые в РФ разработана и практически
реализована по кремниевой субмикронной КМОП-технологии микросхема
синтезатора частот гигагерцового диапазона с дробным коэффициентом деления
на основе петли ФАПЧ с дельта-сигма модулятором в цепи обратной связи. Время
перестройки синтезатора в частотном диапазоне 2,40–2,48 ГГц составило не более
25 мкс при уровне фазовых шумов не более минус 85 дБн/Гц на несущей частоте
2,40 ГГц при отстройке 100 кГц и уровне паразитной гармоники на частоте
сравнения – минус 74 дБн на несущей частоте 2,40 ГГц и минус 79 дБн на
несущей частоте 2,44 ГГц.
164
Список литературы
1.1. IEEE Standard 802.15.4: Wireless medium access control (MAC) and physical
layer (PHY) specifications for low-rate wireless personal area networks (WPANs) //
IEEE, Sept. 2006.
1.2. C.-Y. Chong, S. P. Kumar, Sensor networks: evolution, opportunities, and
challenges // Proceedings of the IEEE, vol. 91, № 8, Aug. 2003, pp. 1247–1256.
1.3. ISO/IEC 7498-1:1994, Information technology – Open Systems Interconnection –
Basic Reference Model: The Basic Model.
1.4. Gronemeyer, S. McBride, A. MSK and Offset QPSK Modulation // IEEE Trans.
On Comm., vol. 24, is. 8, Aug. 1976, pp. 809–820.
1.5. Ахметов Д.Б., Коротков А.С. Приемо-передающее устройство считывания
информации радиочастотных меток для интегрального узла беспроводной
сенсорной сети // Научно-технические ведомости СПбГПУ. Информатика.
Телекоммуникации. Управление. 2010. Вып. 2 (97). С. 17-23.
1.6. Choi Y.-C. et al., A Multi-standard 13.56 MHz RFID Reader System // ITC-CSCC,
2008, pp. 1073 – 1076.
1.7. Min K.-W. et al., An Analog Front-End Circuit for ISO/IEC 14443-Compatible
RFID Interrogators // ETRI Journal, vol. 26, N 6, Dec. 2004, pp. 560 – 564.
1.8. Hagelauer A., Ussmueller T. et al., SAW and CMOS RFID transponder-based
wireless systems and their application // IEEE Int. Symposium on Frequency Control,
21–24 May, 2012, pp. 1–6.
1.9. Wang W., Lee K., et al., Modeling and performance evaluation of 2.4GHz SAWbased pressure sensor // The 5th IEEE Conf. on Sensors, 22–25 Oct, 2006, 1031–1034.
1.10. Binder A, Bruckner G. et al., Passive SAW based RFID systems finding their way
to harsh environment applications // The 7th Int. Conference on Sensor Technologies
and Applications SENSORCOMM 2013, 25–31 Aug., pp. 57–62.
1.11. Reindl L., Wireless passive sensors: basic principles and performances // IEEE
Conf. on Sensors, 26–29 Oct., 2008, pp. 1607–1610.
165
1.12. Li L. Wang F.-Y. et al., A watch in developments of intelligent tire inspection and
monitoring // IEEE Int. Conference on Vehicular Electronics and Safety, 14–16 Oct.,
2005, pp. 333–338.
1.13. Stelzer A., Ettinger K. et al., Fast and Accurate Ramp Generation with PLLStabilized 24-GHz SiGe VCO for FMCW and FSCW Applications // IEEE International
Microwave Symposium 2003, 8–13 Jun., 2003, Philadelphia, USA, vol. 2, pp. 893–896.
1.14. Stelzer A., Höftberger J. et al., Highly Linear Ramp Generation in the 24-GHz
band for LFMCW Microwave Sensor Systems // Asia Pacific Microwave Conference,
4–7 Nov., 2003, Seoul, Korea, vol. 3, pp. 1652–1655.
1.15. Musch T., A High Precision 24-GHz FMCW Radar Based on a Fractional-N
Ramp-PLL // IEEE Trans. on Instrumentation and Measurements, IM-52, Apr., 2003,
No. 2, pp. 324–327.
1.16. Kahn
L.,
Single-sideband
Transmission
by
Envelope
Elimination
and
Restoration// Proc. of the IRE, vol. 40, is. 7, July 1952, pp. 803–806.
1.17. Sowlati T. et al., Quad-band GSM/GPRS/EDGE polar loop transmitter// IEEE J.
of Solid State Circuits, vol. 39, is. 12, Dec. 2004, pp. 2179–2189.
1.18. Gardner F., Phaselock Techniques// Johs Wiley & Sons, Inc., 3rd ed., Aug. 2005,
p. 450.
1.19. Retz G. et al., Radio transceivers for wireless personal area networks using IEEE
802.15.4 // IEEE Communications Magazine, vol. 47, № 9, Sept. 2009, pp.150–158.
1.20. Клэппер Д. Системы фазовой и частотной автоподстройки частоты / Клэппер
Д., Фрэнкл Д. –Москва: изд. Энергия. 1997. – 440 с.
1.21. Wheatley C.E., Phillips D.E., Digital Frequency Synthesizer with Random
Jittering for Reducing Discrete Spectral Spurs// U.S. Patent 4,410,954, Oct. 18, 1983.
1.22. Riley T., Delta-Sigma Modulation in Fractional-N Frequency Synthesis// IEEE
JSSC, vol. 28, N. 5, May 1993, pp. 553–559.
1.23. Miller B., A Multiple Modulator Fractional Divider// IEEE TIM, vol. 40, N. 3,
June 1991, pp. 578–583.
1.24. Inose H., A Telemetering System by Code Modulation – Δ-Σ Modulation// IRE
Trans. on Space Electronics and Telemetry, set-8, is. 3, Sept. 1962, pp. 204–209.
166
1.25. Norsworthy S. et. al., Delta-Sigma Data Converters: Principles, Design and
Simulation// IEEE Press, New-York, Oct. 1996, pp. 476.
1.26. Retz G., A Highly Integrated Low-Power 2.4GHz Transceiver Using a DirectConversion Diversity Receiver in 0.18μm CMOS for IEEE802.15.4 WPAN// in Proc.
IEEE Int. Solid-State Circuits Conference, Feb. 2009, pp. 414–415.
1.27. Shanan H., A 2.4 GHz 2-Mb/s Versatile PLL-Based Transmitter Using Digital
Pre-Emphasis and Auto Calibration in 0.18um CMOS for WPAN// in Proc. IEEE Int.
Solid-State Circuits Conference, Feb. 2009, pp. 420–421.
1.28. Kluge W., A Fully Integrated 2.4-GHz IEEE 802.15.4-Compliant Transceiver for
ZigBee™ Applications// IEEE J. Solid-State Circuits, Dec. 2006, Vol. 41, Is. 12, pp.
2767–2775.
1.29. Ti C.-L., Liu Y.-H., Lin T.-H., A 2.4-GHz Fractional-N PLL with a PFD/CP
Linearization and an Improved CP Circuit // In Proc. IEEE Int. Symposium on Circuits
and Systems, 18-21 May, 2008, pp. 1728–1731.
1.30. Raja M., A 18 mW Tx, 22 mW Rx Transceiver for 2.45 GHz IEEE 802.15.4
WPAN in 0.18-μm CMOS// in Proc. IEEE Int. Solid-State Circuits Conference, Nov.
2010, pp. 1–4.
1.31. Yu R., A 5.5mA 2.4-GHz Two-Point Modulation Zigbee Transmitter with
Modulation Gain Calibration// in Proc. IEEE Custom Integrated Circuits Conference,
Sept. 2009, pp. 375–378.
1.32 Nguyen T.-K., A Low-Power RF Direct-Conversion Receiver/Transmitter for 2.4GHz-Band IEEE 802.15.4 Standard in 0.18-µm CMOS Technology// IEEE Trans. on
Microwave Theory Tech., Dec. 2006, Vol. 54, Is. 12, pp. 4062–4071.
1.33 Nam I., A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion
Transmitter in 0.18-mu CMOS for IEEE 802.15.4 WPAN Applications// IEEE Trans.
on Microwave Theory Tech., April 2007, Vol. 55, Is. 4, pp. 682–689.
1.34 Kim Y.-J., A Switchless Zigbee Frontend Transceiver With Matching Component
Sharing of LNA and PA// IEEE Microwave and Wireless Components Let., Sept. 2010,
Vol. 20, N. 9, pp. 516–518.
167
1.35 Eo Y.-S., A Fully Integrated 2.4GHz Low IF CMOS Transceiver for 802.15.4
ZigBee Applications// in Proc. IEEE Custom Integrated Circuits Conference, Nov.
2007, pp. 164–167.
1.36 Choi P., An Experimental Coin-sized Radio for Extremely Low Power WPAN
(IEEE802.15.4) Application at 2.4GHz// IEEE J. Solid-State Circuits, Dec. 2003, Vol.
38, Is. 12, pp. 2258–2268.
1.37 Park P., A Low-Noise and Low-Power Frequency Synthesizer Using Offset PhaseLocked Loop in 0.13–µm CMOS// IEEE Microwave and Wireless Components Let.,
Jan. 2010, Vol. 20, N. 1, pp. 52–54.
1.38 Krishna M., A Low Power Fully Programmable 1MHz Resolution 2.4GHz CMOS
PLL Frequency Synthesizer// in Proc. IEEE Biomedical Circuits and Systems Conf.,
Nov. 2007, pp. 187–190.
2.1. Herzel F. Analytical phase-noise modeling and charge pump optimization for
fractional-n PLLs / F. Herzel, S.A. Osmany, J.C. Scheytt // IEEE Trans. Circuits Syst.
Part I. — 2010, Aug. — V. 57. — No. 8. — P. 1914–1924.
2.2. Patel G.S. Analysis and reduction of noise in fractional PLL / G.S. Patel, S. Sharma
// Proc. Computer, Consumer and Control (IS3C’12, Int. Symp.), 4–6 June 2012, — P.
507–511.
2.3. Perrot M. A modeling approach for Σ-Δ fractional-n frequency synthesizers
allowing straightforward noise analysis / M.H. Perrot, M.D. Trott, C.G. Sodini // IEEE
J. Solid-State Circ. — 2002, Aug. — V. 37. — No. 8. — P. 1028–1038.
2.4. Perrot M. Behavioral simulation of fractional-N frequency synthesizers and other
PLL circuits / M.H. Perrot // IEEE Design & Test of Computers — 2002, Aug. — V.
19. — Is. 4. — P. 74–83.
2.5. Arora H. Enhanced phase noise modeling of fractional-n frequency synthesizers /
H. Arora, N. Klemmer, J.C. Morizio, et al. // IEEE Trans. Circuits Syst. Part I, — 2005,
Feb. — V. 52. — No. 2. — P. 379–395.
2.6. F. M. Gardner, “Charge-pump phase-locked loops,” IEEE Trans.
Commun., vol. COM-28, no. 11, pp. 1849–1858, Nov. 1980.
168
2.7. J. Hein and J. Scott, “z-domain model for discrete-time PLLs,” IEEE
Trans. Circuits Syst., vol. 35, no. 11, pp. 1393–1400, Nov. 1988.
2.8. P. K. Hanumolu, M. Brownlee, K. Mayaram, and U.-K. Moon, “Analysis
of charge-pump phase-locked loops,” IEEE Trans. Circuits Syst. I, Reg.
Papers, vol. 51, no. 9, pp. 1665–1674, Sep. 2004.
2.9. Z. Wang, “An analysis of charge-pump phase-locked loops,” IEEE Trans.
Circuits Syst. I, Reg. Papers, vol. 52, no. 10, pp. 2128–2138, Oct. 2005.
2.10. A. Carlosena and A. Manuel-Lazaro, “Design of high-order phase-lock
loops,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 1, pp. 9–13,
Jan. 2007.
2.11. C.-Y. Yao, C.-T. Hsu, and C.-J. Chien, “Stability analysis of fourth-order
charge-pump PLLs using linearized discrete-time models,” IEICE Trans.
Electron., vol. E90-C, no. 3, pp. 628–633, Mar. 2007.
2.12 Hegazi E., Abidi A. A 17–mW transmitter and frequency synthesizer for 900–MHz
GSM fully integrated in 0.35um CMOS / Hegazi E., Abidi A. // IEEE J. Solid-State
Circ. — 2003,May — V. 38. — No. 5. — P. 782–792.
2.13. Kasdin N. Discrete simulation of colored noise and stochastic processes and 1/fα
power law noise generation / W.W. Hansen // Proc. IEEE. — 1995, May. — V. 83. —
Is. 5. — P. 802–827.
2.14. Kozak M. A pipelined noise shaping coder for fractional-n frequency synthesis /
M. Kozak, I. Kale // IEEE Trans. on Instrum. Meas. — 2001, Oct. — V. 50. — No. 5.
— P. 1154–1161.
2.15. Ахметов Д.Б. Анализ нелинейных искажений в синтезаторах частот на
основе дельта-сигма модуляторов / Д.Б.Ахметов // IX международный научнопрактический семинар «Проблемы современной аналоговой микросхемотехники»,
1-3 нояб. 2012: материалы / редкол.: Н.Н. Прокопенко (гл. ред.), В.Г. Немудров,
С.Г. Русаков [и др.]. - Шахты: ФГБОУ ВПО «ЮРГУЭС», 2012. - С. 60-63.
2.16. Ахметов Д.Б., Коротков А.С. Моделирование и расчет синтезаторов частот с
дробным коэффициентом деления на основе дельта-сигма модуляторов //
Материалы Всероссийской научно-методической конференции Фундаментальные
169
исследования и инновации в национальных исследовательских университетах.
Санкт-Петербург. Т. 1. -СПб.: Изд-во Политехнического Ун-та, 2012. С. 60-65.
2.17. Ахметов Д.Б., Коротков А.С. Функциональное моделирование синтезаторов
частот в среде Simulink // Известия ВУЗов. Радиоэлектроника. Т. 56, N 11. 2013, С.
42–53.
2.18 Egan, W.F., Frequency Synthesis by Phase Lock, 2nd ed., John Wiley & Sons,
1999, –624 pp.
2.19
Куркин А.А., Коротков А.С., Моделирование синтезатора частот с
целочисленным коэффициентом деления // Труды научно-технического семинара
«Системы синхронизации, формирования и обработки сигналов для связи и
вещания», ЯрГУ, 1-3 июля 2008, с. 74-76.
2.20 Куркин А.А., Методика расчета фильтра нижних частот для синтезатора
частот с дробным коэффициентом деления на основе ΔΣ-модулятора //
Инновационные разработки молодых ученых: материалы конференции-выставки,
СПб, 28 июня 2008, с.60-65.
2.21 Rhee W., Design of high-performance charge pumps in phase-locked loops // Proc.
of the IEEE International Symposium on CAS, 30 May– 2 Jun, 1999, vol. 2, pp. 548548.
2.22 Leenaerts M.W. et al., A 15-mW Fully Integrated I/Q Synthesizer for Bluetooth in
0.18-um CMOS // IEEE J. of Solid-State Circuits, July 2003, Vol. 38, N. 7, pp. 1155–
1162.
2.23 Craninckx J., Steyaert M.S.J., A 1.75-GHz 3-V dual-modulus divide-by-128/129
prescaler in 0.7-um CMOS // IEEE J. Solid-State Circuits, Vol. 31, Jul. 1996, pp. 890–
897.
2.24 Wang C.-C. et al., W-Band Silicon-Based Frequency Synthesizers Using InjectionLocked and Harmonic Triplers // IEEE Trans. On Microwave Theory and Techniques,
May 2012, Vol. 60, N. 5, pp. 1307–1320.
2.25 Alioto M., Palumbo G., Design strategies for source coupled logic gates / IEEE
Trans. on Circuits and Systems–I: Fundamental theory and applications, May 2003, vol.
50, No. 5, pp. 640–654.
170
2.26 Alioto M., Mita R. et al., Design of high-speed power efficient MOS current-mode
logic frequency dividers / IEEE Trans. on Circuits and Systems–II: Express briefs, Nov.
2006, vol. 53, No. 11, pp. 1165–1169.
2.27 Heydari P., Mohanavelu R., Design of ultrahigh-speed low-voltage CMOS CML
buffers and latches, / IEEE Trans. on Very Large Scale Integration Systems, Oct. 2004,
vol. 12, No. 10, pp. 1081–1093.
3.1. Краснов А.В., Ахметов Д.Б. Сжатие ЛЧМ радиосигналов в акустооптическом
процессоре. Моделирование работы процессора с применением пакета GLAD //
XXXVIII неделя науки СПбГПУ: Материалы международной межвузовской
научно-практической конференции. Ч. IX; 30 ноября – 5 декабря 2009 г.- СПб:
Изд-во Политехн. ун-та, 2009.- С.3-4.
3.2. Краснов А.В., Ахметов Д.Б., Сжатие ЛЧМ радиосигналов в акустооптическом
фильтре - моделирование с применением пакета GLAD // XIII Всероссийская
научная конференция студентов-радиофизиков: Тезисы докладов. 8 – 9 декабря
2009. - СПб: Изд-во СПбГУ, 2009. - С.66-69.
3.3 J. Yuan ,C. Svensson, High-speed CMOS circuit technique, // IEEE J. of Solid-State
Circuits, Feb. 1989, vol. 14. pp. 61–70.
3.4 H. Yoshizawa, An implementation technique of dynamic CMOS circuit applicable
to asynchronous/synchronous logic // Proc. of the IEEE International Symposium on
CAS, 31 May– 3Jun, 1998, vol. 2, pp. 145-148.
3.5 Zhan J.H.C., A comparative study of MOS VCOs for low voltage high performance
operation // Proc. of the 2004 International Symposium on Low Power Electronics and
Design, 9–11 Aug., 2004, pp. 244-247.
3.6 Tiebout M., Low-Power Low-Phase-Noise Differentially Tuned Quadrature VCO
Design in Standard CMOS // IEEE J. of Solid-State Circuits, July 2001, Vol. 36, N. 7,
pp. 1018–1024.
3.7 Pellerano S. et al., A 13.5-mW 5-GHz Frequency Synthesizer With Dynamic-Logic
Frequency Divider // IEEE J. of Solid-State Circuits, Febr. 2004, Vol. 39, N. 2, pp. 378–
383.
171
3.8 Zhou J. et al., A 0.4–6-GHz Frequency Synthesizer Using Dual-Mode VCO for
Sofware-Defined Radio // IEEE Trans. On Microwave Theory and Techniques, Febr.
2013, Vol. 61, N. 2, pp. 848–859.
3.9 Yu S.-A. et al., A 0.65-V 2.5-GHz Fractional-N Synthesizer With Two-Point 2Mb/s GFSK Data Modulation // IEEE J. of Solid-State Circuits, Sept. 2009, Vol. 44, N.
9, pp. 2411–2425.
3.10 Lee T.-C. et al., A Stabilization Technique for Phase-Locked Loops Frequency
Synthesizers // IEEE J. of Solid-State Circuits, June 2003, Vol. 38, N. 6, pp. 888–894.
3.11 Yan S.T. et al., A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency
Synthesizer for GSM Receivers // IEEE J. of Solid-State Circuits, Febr. 2001, Vol. 36,
N. 2, pp. 204–216.
3.12 Hung C.-M. et al., Fully Integrated 5.35-GHz CMOS VCOs and Prescalers // IEEE
Trans. On Microwave Theory and Techniques, Jan. 2001, Vol. 49, N. 1, pp. 17–22.
3.13 McCorquodale M.S. et al., Study and simulation of CMOS LC oscillators phase
noise and jitter // Proc. of the International Symposium on CaS, 25-28 May, 2003, vol.
1, pp. 665–668
3.14 Han-il L. et al., An extremely low power 2GHz CMOS LC VCO for wireless
communication applications // Proc. of the European Conference on Wireless
Technology, 3–4 Oct., 2005, pp. 31–34.
3.15 Hajimiri A., Design issues in CMOS LC oscillators // IEEE J. of Solid-State
Circuits, May, 1999, vol. 34, Is. 5, pp. 717–724.
3.16 Vaucher C.S. et al., A wide band tuning system for fully integrated satellite
receivers // Proc. Of the 23d European Solid-State Circuits Conference, 16-18 Sept.,
1997, pp. 56–59.
3.17 Lei X. et al., A high speed low power pulse swallow frequency divider for
DRM/DAB
frequency
synthesizer
//
International
Conference
on
Wireless
Communications & Signal Processing, 13-15 Nov., 2009, pp. 1–4.
3.18 Carmo J.P. et al., A 4.2mW 5.7 GHz frequency synthesizer with dynamic-logic
(TSPC) frequency divider // International Conference on Telecommunications, 25-27
May, 2009, pp. 309–312.
172
3.19 Vaucher C.S. et al., A family of low-power truly modular programmable dividers
in standard 0.35-/spl mu/m CMOS technology // IEEE J. of Solid-State Circuits, July
2000, Vol. 35. Is. 7, pp. 1039-1045.
3.20 Larsson P., High-speed architecture for a programmable frequency divider and a
dual-modulus prescaler // IEEE J. of Solid-State Circuits, May 1996, Vol. 31. Is. 5, pp.
744-748.
3.21 Razavi B. et al., Design of high-speed, low-power frequency dividers and phaselocked loops in deep submicron CMOS // IEEE J. of Solid-State Circuits, Febr. 1995,
Vol. 30. N. 2, pp. 101-109.
3.22 Shin J., Shin H., A 1.9-3.8 GHz ∆Σ Fractional-N PLL Frequency Synthesizer with
Fast Auto-Calibration of Loop Bandwidth and VCO Frequency // IEEE J. of Solid-State
Circuits, Mar. 2012, Vol. 47, N. 3, pp. 665–675.
3.23 Park P. et al., A 2.4 GHz Fractional-NFrequency Synthesizer with High-OSR ∆Σ
Modulator and Nested PLL // IEEE J. of Solid-State Circuits, Oct. 2012, Vol. 47, N. 10,
pp. 2433–2443.
3.24 Yao C.-W. et al., A 2.8-3.2-GHz Fractional-N Digital PLL with ADC-Assisted
TDC and Inductively Coupled Fine-Tuning DCO // IEEE J. of Solid-State Circuits,
Mar. 2013, Vol. 48, N. 3, pp. 698–710.
3.25 Meninger E., Perrot M., A 1 MHz Bandwidth 3.6-GHz 0.18-um CMOS
Fractional-N Synthesizer Utilizing a Hybrid PFD/DAC Structure for Reduced
Broadband Phase Noise // IEEE J. of Solid-State Circuits, Apr. 2006, Vol. 41, N. 4, pp.
966–980.
3.26 Magoon R. et al., A Single-Chip Quad-Band (850/900/1800/1900 MHz) Direct
Conversion GSM/GPRS RF Transceiver with Integrated VCOs and Fractional-N
Synthesizer // IEEE J. of Solid-State Circuits, Dec. 2002, Vol. 37, N. 12, pp. 1710–
1720.
3.27 Rhee W. et al., A 1.1-GHz CMOS Fractional-N Frequency Synthesizer with a 3-b
Third-Order ∆Σ Modulator // IEEE J. of Solid-State Circuits, Oct. 2000, Vol. 35, N. 10,
pp. 1453–1460.
173
3.28 Yang Y.-C. et al., A Quantization Noise Suppression Technique for ∆Σ FractionalN Frequency Synthesizers // IEEE J. of Solid-State Circuits, Nov. 2006, Vol. 41, N. 11,
pp. 2500–2511.
4.1. Ахметов Д.Б., Коротков А.С., СВЧ приемопередатчик по 180 нм КМОП
технологии для идентификации транспортных средств / Ахметов Д.Б., Балашов
Е.В., Морозов Д.В., Пилипко М.М, Пятак И.М., Румянцев И.А., Калинин В.А.,
Коротков
А.С.
//
Материалы
XIII
научно-технической
конференции
«Твердотельная электроника. Сложные функциональные блоки РЭА», 8–10 окт.
2014, Дубна, С. 72–73.
174
Приложение А
Таблица А.1
Параметры
[1.19, 1.26–1.27] [1.28]
[1.29]
Схема передатчика
Прямая
Прямая
Прямая
Режим работы ФАПЧ
Замкнутая
Замкнутая
Замкнутая
Узел поступления данных
На вход ДСМ
–
На входы ДСМ и ГУН
ДСМ
MASH
–
MASH 1–1–1
Скорость перадачи данных, Мбит/с
2
2
до 4
менее –102
менее –102 менее –102
Тип ГУН
Gm LC
–
Gm LC
Частота ГУН, ГГц
4,88
2,40
2,40
Усилитель мощности
AB
AB
–
Порядок ФНЧ в ФАПЧ
2
–
–
RMS EVM, %
Не более 5
–
–
Напряжение питания, В
1,8–3,6
1,8–3,6
1,4
СПМ, дБн/Гц
более 3,5 МГц
Токи потребления (режим передачи), мА
Аналоговая часть
9,1
7,5
–
Синтезатор
6,6
5,4
–
Цифровая часть
2,3
2,8
–
Полный ток
18 ,00
15,70
12,86 (без учета УМ)
Потребляемая мощность, мВт
32,4
28,3
18,0
–
20
Частота кварцевого генератора, МГц 52
175
Продолжение таблицы А.1
Тех. процесс, мкм
0,18
0,18
0,18
Площадь кристалла, мм2
1х1
1,85х2,05
1,1х1,1
Фазовый шум на выходе ФАПЧ, дБн/Гц
–
–
–123 (при 1 МГц)
Время установления, мкс
–
–
20
Полоса пропускания петли ФАПЧ
520 кГц
–
–
Таблица А.2
Параметры
[1.30]
[1.31]
Схема передатчика
Прямая
Прямая
Состояние ФАПЧ
Замкнута
Замкнута
–
Узел поступления данных
ДСМ+ГУН
ДСМ+ГУН
–
ДСМ
–
–
–
2
2
2
–
–
–102
Тип ГУН
Gm LC
Gm LC
Квадратурный
Частота ГУН, ГГц
2,40
2,40
4,80
Усилитель мощности
АВ
–
–
Порядок ФНЧ в ФАПЧ
–
–
–
с.к.з. EVM, %
7
8
–
Напряжение питания, В
1,8
1,55
1,8
Скорость
передачи
данных, Мбит/с
СПМ, дБн/Гц
более 3,5 МГц
[1.32]*
с
однократным
переносом
Токи потребления (режим передачи), мА
Аналоговая часть
5
1,57
–
Синтезатор
5
3,8
–
Цифровая часть
–
0,12
–
*– В состав передатчика не входят ЦАП, блок цифровой обработки сигналов.
176
Продолжение таблицы А.2
Полный ток
10
5,5
3
Потребляемая мощность, мВт
18,0
8,5
5,4
Частота кварца, МГц
32
24
–
0,18
0,15
0,18
2,5х2,05
0,8х1,1
1,66х1,65+1,8х0,9
Тех. процесс, мкм
2
Площадь кристалла, мм
Фазовый шум на выходе ФАПЧ,
дБн/Гц
Время установления, мкс
Полоса
пропускания
–107
-109 (при 1 МГц)
петли
ФАПЧ
(при 1 МГц)
–
35
–
–
45 кГц
100 кГц
–
не более –30 дБн
Просачивание сигнала ГУН
Амплитуда 3ей гармоники на
–
выходе УМ
Таблица А.3
Параметры
[1.33]**
[1.34]***
[1.35]
с однократным переносом
Схема передатчика
Состояние ФАПЧ
–
–
–
Узел поступления данных
–
–
–
ДСМ
–
–
–
–
–
2
–
–
–
Тип ГУН
отсутствует
–
GmLC
Частота ГУН, ГГц
4,80
–
2,00
Усилитель мощности
–
AB
–
Скорость
перадачи
Мбит/с
СПМ, дБн/Гц
более 3,5 МГц
данных,
177
Продолжение таблицы А.3
Порядок ФНЧ в ФАПЧ
–
–
–
с.к.з. EVM, %
13
16
8,4
Напряжение питания, В
1,8
1,8
1,8
Аналоговая часть
–
–
–
Синтезатор
–
–
–
Цифровая часть
–
–
–
Полный ток
9
5
17
Потребляемая мощность, мВт
16,2
9
30
Частота кварца, МГц
–
–
22
Тех. процесс, мкм
0,18
0,18
0,18
Площадь кристалла, мм2
1,9х1,9
1х1
2,5х2,6
Фазовый шум на выходе ФАПЧ, дБн/Гц
–
–
–
Время установления, мкс
–
–
–
Полоса пропускания петли ФАПЧ
–
–
–
Просачивание сигнала ГУН
Не более –35 дБн
–
–
Амплитуда 3ей гармоники на выходе УМ
Не более –46 дБн
–
–
Потребляемый ток, мА
**– В состав передатчика не входит ГУН, а, следовательно, и петля ФАПЧ.
***– передающая часть включает только усилитель мощности. Развязка между
приемной и передающей частью выполнена без применения переключателя.
Таблица А.4
Параметры
[1.36]
Схема передатчика
Состояние ФАПЧ
Узел
данных
ДСМ
поступления
с
[1.37]
однократным Только
[1.38]
Только
переносом
синтезатор
синтезатор
–
–
–
–
–
–
целочисленный
3го порядка
целочисленный
178
Продолжение таблицы А.4
Скорость перадачи данных, Мбит/с
СПМ, дБн/Гц
более 3,5 МГц
2
–
–
–
–
–
–
Квадратурный
Тип ГУН
Частота ГУН, ГГц
4,80
2,40
Усилитель мощности
–
–
–
–
–
–
с.к.з. EVM, %
–
–
–
Напряжение питания, В
1,8
1,2
1,8
Аналоговая часть
10
–
–
Синтезатор
6,66
2,67
–
Цифровая часть
0,22
–
–
Полный ток
16,88
2,67
5,15
Потребляемая мощность, мВт
30,00
3,20
9,27
Частота кварц. ген., МГц
–
50 и 25
1
Тех. процесс, мкм
0,18
0,13
0,18
Площадь кристалла, мм2
3,5х2,5+3,4х2,4 0,43
Фазовый шум на выходе ФАПЧ, дБн/Гц
–
Время установления, мкс
Порядок ФНЧ
в ФАПЧ
Потребляемый ток, мА
-112
-119
(при 1 МГц)
(при 1 МГц)
150
–
35
Полоса пропускания петли ФАПЧ
–
200 кГц
–
Просачивание сигнала ГУН
–
–
–
Амплитуда 3ей гармоники на выходе УМ
–
–
–
Download