Автореферат диссертации «Управление процентным риском

реклама
На правах рукописи
Мелик-Адамян Арег Фрикович
МЕТОДЫ И АЛГОРИТМЫ МНОГОКРИТЕРИАЛЬНОЙ
ОПТИМИЗАЦИИ СТАНДАРТНЫХ ЯЧЕЕК В СУБМИКРОННЫХ
ТЕХНОЛОГИЯХ ПРОЕКТИРОВАНИЯ СБИС
Специальность: 05.13.01 — Системный анализ, управление и обработка информации
АВТОРЕФЕРАТ
диссертации на соискание ученой степени
кандидата физико-математических наук
Тверь — 2009
2
Работа выполнена в Институте точной механики и вычислительной техники им. С. А. Лебедева РАН
Научный руководитель:
доктор технических наук, доцент
Рыжов Александр Павлович
Официальные оппоненты: доктор физико-математических наук,
профессор Семенов Николай Александрович;
доктор физико-математических наук,
профессор Ульянов Сергей Викторович
Ведущая организация:
Институт точной механики и вычислительной
техники им. С.А Лебедева РАН.
Защита состоится 17 декабря 2009 г. в 14:00 часов на заседании диссертационного совета Д 212.263.02 при Тверском государственном университете
по адресу: 170100, г. Тверь, ул. Желябова, д. 33, ауд. 52.
С диссертацией можно ознакомиться в библиотеке Тверского государственного университета. 170000, г. Тверь, ул. Володарского, д. 44а.
Текст автореферата и объявление о защите размещены на сайте Тверского государственного университета http://university.tversu.ru/aspirants/abstracts/
Автореферат разослан 03 ноября 2009 г.
Ученый секретарь диссертационного совета
доктор физико-математических наук, профессор
Михно В.Н.
3
Общая характеристика работы.
Актуальность темы диссертационного исследования.
В настоящее время современные субмикронные технологии производства
СБИС достигли такой степени интеграции, что минимальный размер топологического объекта меньше длины волны, используемой при фотолитографии. В
частности, за последние 30 лет длина затвора МОП-транзистора уменьшилась в
250 раз, с 10 мкм в начале 70-х годов до 40 нм в наши дни, а длина волны всего
примерно, в 10 раз с 2 мкм до 193 нм. Как следствие этого, к известным технологическим ограничениям на минимальное расстояние и размер объектов топологии добавились новые, более сложные правила, зависящие, например, от
конфигурации объектов, геометрических размеров, взаимного расположения
объектов топологии и особенностей процесса производства. Кроме того, для
создания объектов меньше чем длина волны применяются специальные приемы, позволяющие улучшить разрешающую способность технологического
оборудования. Например, засветка противоположными фазами с разных сторон
проводника, или оптическая коррекция близости1.
С другой стороны, известно, что с уменьшением геометрических размеров транзисторов снижается площадь кристалла, уменьшаются паразитные ёмкости, улучшается быстродействие и снижается энергопотребление СБИС. Тем
не менее, это влечет за собой экспоненциальный рост утечек тока на единицу
площади. Например, на пороговые утечки приходиться до 50% от общего объема энергии для портативных приложений, разработанных для 65нм технологии (рис. 1)2. Дальнейшее развитие технологии, масштабирование размеров,
толщины подзатворного окисла приведет к значительному росту туннельного
тока, что еще больше усугубляет проблему утечки.
1
V. Oklobdzija, Digital Design and Fabrication, CRC Press, 2008.
2
C. Piguet, Low Power Electronics Design, CRC Press, 2007.
4
Рисунок 1: Графики соотношения видов энергопотребления
в СБИС по технологическим нормам
Технологические ограничения таких видов делают процесс разработки
современных топологий более трудоемким, чем раньше. Уменьшение размеров
привело к тому, что проводники вносят существенный вклад в задержку распространения сигнала даже в топологии стандартной ячейки 3. Следовательно,
необходимо учитывать данные схемотехнические проблемы при разработке
топологии ячеек. Особенно сильно на задержку распространения сигнала и на
статический ток утечки в стандартной ячейке влияет расстояние между затворами транзисторов, так как диффузионная область имеет значительное сопротивление и емкость по сравнению с металлами.
Другой, не менее важной проблемой является задача повышения уровня
выхода годных (УВГ, yield). УВГ зависит как от случайных технологических
ошибок, возникающих во время процесса производства (catastrophic errors), так
и от параметрических особенностей производства для данного типа процесса.
Параметрические проблемы хорошо моделируются статистическими методами
в процессе производства, что позволяет учитывать результаты работы этих методов в процессе проектирования, а в последнее время даже использовать их в
маршруте проектирования СБИС. Технологические ошибки трудно предсказы3
M. Pan, N. Chu, H. Zhou, Timing yield estimation using statistical static timing analysis, in ISCS, 2005.
5
вать, основываясь на прошлых данных, из-за частых и существенных изменений в процессах.
Наряду с перечисленными ограничениями происходит быстрая смена
технологий. Каждый год появляется новый технологический процесс, который
в первую очередь требует разработки новой библиотеки стандартных ячеек.
Это приводит к тому, что разработка библиотеки производится в сжатые сроки
и часто параллельно с доводкой технологии.
Таким образом, сложные технологические ограничения и сжатые сроки
проектирования делают невозможным разработку топологии стандартных ячеек без использования сложных систем автоматизированного проектирования и
разработки (САПР). Параллельная разработка библиотеки и технологий требует коррекции уже разработанных ячеек после каждого изменения технологических норм. Для каждой технологии создаются семейства библиотек – стандартного быстродействия, энергосберегающая, быстрая и т.д. Разработка эффективных методов оптимизации ячеек в маршруте проектирования по УВГ, энергопотреблению, площади и задержкам является актуальной задачей создания
новых библиотек и заказных блоков.
Цель работы состоит в разработке методов, алгоритмов и программноинструментальных средств, которые совместно формируют прототип технологии для эффективного решения задачи многокритериальной оптимизации стандартных ячеек и небольших заказных схем как отдельно, так и в составе маршрута проектирования.
Для достижения этой цели необходимо решить следующие задачи:
1. Провести анализ современного состояния средств автоматизации проектирования и оптимизации библиотек стандартных ячеек, определение
проблемы и направления их развития;
6
2. Сформулировать требования, определить целевые задачи и методику оптимизации стандартных ячеек;
3. Обосновать выбор методов оптимизации и применимость для существующих библиотек стандартных ячеек;
4. Разработать математические модели тока утечки, быстродействия, уровня выхода годных и площади для стандартной ячейки;
5. Разработать алгоритмическое обеспечение многокритериальной оптимизации стандартной ячейки, провести программную реализацию разработанных средств и их интеграцию в единую программную среду маршрута
проектирования стандартных ячеек;
Объектом исследования является топология стандартных ячеек.
Предметом исследования является оптимизация характеристик стандартных ячеек.
Методы исследования базируются на фундаментальных положениях теории алгоритмов, принципах проектирования топологии интегральных схем и
правилах построения программного обеспечения. Также при выполнении диссертационной работы использовался аппарат системного анализа, теории оптимизации, исследования операций, теории вероятности и математической статистики.
Научная новизна представляемой работы может быть охарактеризована
следующим:
 Разработан новый гибридный алгоритм условной оптимизации тока
утечки для стандартной ячейки, отличающийся от известных алгоритмов организацией взаимодействия глобального и локального поиска.
 Разработан новый гибридный алгоритм безусловной многокритери-
альной оптимизации для стандартной ячейки, отличающийся от известных алгоритмов организацией взаимодействия глобального, локального и эволюционного поиска.
7
 Предложен модифицированный гибридный алгоритм условной оптимизации топологии стандартной ячейки, отличающийся от известных
способом учета существенных ограничений.
 Предложены модифицированные математические модели оптимизации топологии СБИС, отличающиеся от известных наличием нескольких критериев.
Обоснованность и достоверность научных положений, представленных
в диссертационной работе, обеспечивается тщательным анализом состояния
исследований по теме работы, подтверждается согласованностью теоретических результатов с результатами компьютерного моделирования, а также апробацией в печатных трудах и опытном внедрении.
Практическая значимость. На основе разработанных в работе методов и
алгоритмов создана программная система, которая снимает с пользователя требование к знанию многокритериальной оптимизации, и позволяет пользователю решать практические задачи принятия решений в процессе проектирования
и оптимизации топологии СБИС. Работоспособность системы продемонстрирована на примере реальных задач проектирования и оптимизации промышленной библиотеки элементов и заказной системы-на-кристалле.
Основные положения, выносимые на защиту:
 Новый гибридный алгоритм безусловной многокритериальной опти-
мизации списка цепей для стандартной ячейки, отличающийся от известных алгоритмов организацией взаимодействия глобального, локального и эволюционного поиска.
 Модифицированный гибридный алгоритм условной оптимизации топологии стандартной ячейки, отличающийся от известных способом
учета существенных ограничений.
8
 Модифицированные математические модели оптимизации топологии
СБИС, отличающиеся от известных наличием нескольких критериев.
Реализация полученных результатов работы. Результаты диссертационной работы использованы в ряде научно-исследовательских проектов в ИТМ
и ВТ, а именно:
 В проекте «Ардон» – разработка инструментальной среды генерации
стандартных библиотек.
 В проекте «Ардон-2» – разработка системы генерации и оптимизации
стандартных библиотек.
 В оптимизации промышленных библиотек для «Микрон-НИИМЭ».
Апробация результатов исследования. Основные результаты диссертационной работы опубликованы в работах [1-7], из них в изданиях,
рекомендованныx Перечнем ВАК Министерства образования и науки
Российской Федерации – 3 работы [1-3]. Результаты неоднократно докладывалась на научных конференциях и семинарах, в частности:
 на 51-ой Научной конференции МФТИ, 2008;
 на конференции по автоматизации физического проектирования Ментор Графикс, 2008;
 на семинарах факультета ВМиК МГУ 2008;
 на семинарах ИТМиВТ 2006-2008.
Личный вклад автора заключается в:
 полной разработке практических и теоретических основ метода комбинирования многокритериальной оптимизации на основе случайного
поиска с локальным адаптивным алгоритмом;
 участие в разработке метода комбинированного поискa [4];
 в постановке обобщенной задачи пост-топологической оптимизации;
 руководстве разработкой программной системы Cell Compiler для оптимизации и генерации стандартных ячеек;
9
 программной реализации гибридного метода глобальной оптимизации
на основе генетических алгоритмов и случайного поиска.
Публикации. Основное содержание диссертации опубликовано в 6 работах, перечень которых приведен в списке литературы.
Структура и объем диссертации. Диссертация состоит из 120 страниц
текста, содержит введение, четыре главы, заключение, список литературы из
160 наименований, приложение, 31 рисунков и 6 таблицы.
Во введении обоснована важность и актуальность темы диссертации,
сформулированы цель диссертационной работы и решаемые задачи, определены научная новизна, практическая значимость работы, представлены основные
положения, выносимые на защиту краткое и содержание основных разделов
диссертации.
В первой главе диссертационной работы содержится обзор состояния исследований в области проектирования и оптимизации библиотек стандартных
ячеек. Рассмотрены основные проблемы, соответствующие международной
технологической дорожной карте по полупроводникам (ITRS – International
Technology Roadmap for Semiconductors 2007). Детально рассмотрены проблема возникновения тока утечек в субмикронных технологиях. Определены его
источники, причины возникновения, классифицированы типы токов утечек – 8
основных механизмов утечек. I1 – это ток утечки обратного смещения в стыке
p-n, вызванный барьерной эмиссией, вместе с небольшим сдвигом носителей и
межзонным сдвигом туннелирования от оксидно-поликремниевой поверхности, I2 – это слабо инверсионный ток, I3 это стоко-вызванная утечка через барьер (DIBL), I4 затворно-вызванная
10
Рисунок 2: Ток утечки в субмикронных транзисторах
утечка стока (GIDL), I5 – утечка канала, I6 – утечка через поверхность канала
из-за узости канала, I7 – утечка через оксид, и I8 – утечка через затвор в следствии вхождения горячих носителей заряда. Токи I1 – I6 возникают, когда транзистор выключен, а I7 (туннелирование оксида) возникает в рабочем состоянии.
I8 возникает как в выключенном состоянии, так и при переходном из включенного в выключенное.
Далее рассматривается уровень стандартных схем и рассматриваются токи утечек на схемном уровне. Рассматриваются уравнения SPICE, где все виды
утечек приводятся в виде системы нелинейных уравнений. Показываются зависимости тока утечек в схемах от входных векторов на примерах ячеек инвертора и И-НЕ.
Приводиться обзор методов оптимизации токов утечки и обзор инструментария работы с ними. Представлены экспериментальные данные по нескольким сложным схемам, где показана целесообразность замены некоторых
ячеек на ячейки с меньшим током утечки с сохранением остальных характеристик в пределах разрешенной вариации. Далее ставиться общая математическая
постановка задачи. Пусть G = (N,E) направленный ациклический граф, соответствующий КМОП-схеме. Множествo N={1,…,n}, соответствующее множеству
узлов в графе, отображается взаимно-однозначным образом на транзисторы в
стандартной КМОП-схеме. Таким образом, транзистору i соответствует i  N.
11
Если ребро еij  E , то транзистор i соединен с транзистором j. Через хi обозначим длину транзистора i. Введем понятие критериальных функций. Таких
функций у нас будет 4: P – статического энергопотребления, T – задержки, A –
площади, Y – уровня выхода годных. Все эти функции зависят от множества
параметров и детально будут рассмотрены в главе 2, но для наших исследований, существенными являются длины транзисторов. Таким образом, P = P(x1,
x2,… xn), A = A(x1, x2,… xn), T = T(x1, x2,… xn), Y = Y(x1, x2,… xn). Функции P, T и
Y характеризуются тем качеством, что точное значение может быть вычислено
только с помощью либо SPICE моделирования для функций P, T, либо с помощью системы нелинейных уравнений для Y.
Задача ставиться следующим образом.
P → min,
(Т,А→ min, Y→ max)
T ≤ Tmax
A ≤ Amax
Y ≥ Ymin
В настоящее время для решения задачи оптимизации используются модификации метода ветвей и границ, методы поиска в локальной окрестности, но
наибольшее распространение получили динамическое программирование и
жадные алгоритмы. Недостатком метода динамического программирования,
гарантирующего нахождение оптимального решения, является экспоненциальная временная сложность. Вследствие чего наиболее часто используется жадный алгоритм, обладающий меньшей вычислительной сложностью, но не гарантирующий нахождение глобального оптимума. Для решения задачи оптимизации уровня выхода годных, используется эвристика, основанная на статистическом анализе, позволяющая упрощать модели в зависимости от типа топологии. Данный метод не гарантирует правильность результатов при изменении параметров процесса или топологии.
12
Установлено, что наиболее перспективными методами решения оптимизационных задач являются генетические алгоритмы, алгоритмы случайного поиска
и автоматы адаптации.
Во второй главе рассматривается подход к решению задачи многокритериальной оптимизации, заключающейся в использовании случайного поиска по
равномерно распределенным точкам и комбинировании метода с локальными
методами эволюционного поиска.
В параграфе 2.1 рассмотрены методы оптимизации в задачах автоматизации проектирования СБИС. Рассмотрены понятия целевой функции и критериальных ограничений. Вводится понятие пространства решений, и принцип
сравнения допустимых решений. Далее вводится понятие критерия оптимальности — тот признак, по которому ячейка признается наилучшим из возможных вариантов. Критерию оптимальности соответствует математическая форма — целевая функция. Выбранным выражением критерия оптимальности является шкала оценок предпочтений.
Далее показывается, что основная задача многокритериальной оптимизации в данной постановке относится к классу NP-полных задач.
В параграфе 2.2 рассматриваются известные методы решения такого
класса задач проектирования. Показывается, что методы поиска глобального
экстремума, для данного класса задач обладают недостатками: они могут не
достичь глобального экстремума, и остаться в локальном диапазоне, и результат сильно зависит от начальной точки поиска.
В параграфе 2.3 рассматриваются итерационные алгоритмы разработки
поисковых методов, основанные на моделировании естественных процессов.
Рассматриваются метод моделирования отжига, методы генетического поиска
(эволюционная адаптация), методы альтернативной адаптации, методы итеративного случайного поиска. Являясь по своей сути итерационными, алгоритмы на основе моделирования отличаются от обычных итерационных
13
процедур слепого поиска. Далее рассматриваются различия в этих алгоритмах. Делается вывод, что для достижения хороших результатов необходимо
комбинировать глобальный поиск с локальным поиском.
В параграфе 2.4 рассматривается математическая модель ячейки стандартных элементов на основе модели графа. Для целевых функций энергопотребления, площади и уровня выхода годных используются только ширины
проводников, транзисторов и расстояний между ними, которые приписаны как
параметры соответственно вершинам и дугам (рис. 3).
l1
l4
l2
l3
l5
Рисунок 3: Метод кодирования
Обобщенная постановка задачи выглядит следующим образом: дано (G, O),
найти L;
min σ2 (d);
max Y;
min P;
min Т;
min А;
при
(2)
μ(d) ≤ μmax
π(G) ≤ πmax
где,
1. G есть множество всех транзисторов и gi ∈ G;
14
2. O есть множество выходов ячейки, O  G;
3. L = {l1, l2, . . . , ln}, где li длинa варьируемой области;
4. μ(x) математическое ожидание ;
5. σ2 (x) дисперсия случайной величины x;
6. di задержка i-того выхода ячейки, i ∈ O;
7. π(G) площадь ячейки содержащее все транзисторы из G;
8. πmax и μmax максимальное допустимые площадь и математическое ожидание
задержек выходов ячейки.
Рассмотрены модели BSIM 4.2 по характеристическим функциям энергопотребления и задержки. Приводиться сравнение и уточнение характеристических функций.
В третьей главе разрабатываются алгоритмы и методы оптимизации
ячеек.
В параграфе 3.1 анализируется, почему оптимизационная задача с использованием только одного критерия имеет недостатки для применения в
маршруте проектирования САПР микроэлектроники:
Первое, решение однокритериальной задачи оптимизации будет зависеть
от ограничений, накладываемых на другие параметры, которые определяются
инженером. Таким образом, если эти ограничения были выбраны неверно, решение может не иметь смысла или не дать максимального результата. Например, если мы определили штрафные очки для целевых функций, и если границы вариации целевых функций очень узкие, то оптимизация использует штрафные очки по максимуму. В таком случае, могут существовать решения, с меньшими значениями ограничений, которые мы не получим.
Второе, большинство используемых техник оптимизаций в промышленных САПР, основываются на традиционных однокритериальных методах оптимизации. При наличии нескольких критериев, они оптимизируют сначала по
одному критерию, а потом по второму и т.д. Результат такой «последователь-
15
ной» оптимизации существенно зависит от выбора порядка критериев, по которым идет оптимизация. При этом теряется сам смысл многокритериальной оптимизации, когда идет одновременная оптимизация по нескольким критериям.
И третье, при использовании многокритериальной оптимизации, при отсутствии решения мы можем получить близкое к оптимальному решение, что с
инженерной точки зрения может быть приемлемым. Традиционные техники в
таких случаях не дадут результата.
Таким образом, необходим метод который:
 одновременно оптимизирует по нескольким критериям;
 максимально не требует вмешательства пользователя;
 даст решения близкие к оптимальным при отсутствии точного решения.
Рассматриваются применения генетических алгоритмов для задач САПР.
Предлагается гибридный метод оптимизации на основе комбинации случайного ЛПτ-поиска и оптимизации на основе генетического алгоритма недоминирующего упорядочивания. Этот алгоритм пытается минимизировать все критерии одновременно. Алгоритм находит все Парето-оптимальные решения (если
они существуют), или дает точки которые лучше исходных, но не попадают в
множество оптимальных решений. Такой метод дает инженерам-проектировщикам гибкость при оптимизации и выборе компромиссов между решениями.
В параграфе 3.2 рассматривается генетический алгоритм многокритериальной оптимизации.
Алгоритм 1 ГА Алгоритм
pop = GenerateInitialPopulation
while generation ≤ max generation do
rank = Ranking(pop)
fitness = Fitness (pop, rank)
for i = 1 to N step 2 do
parent1 = Selection(pop, fitness)
16
parent2 = Selection(pop, fitness)
(child1, child2) = Crossover(parent1, parent2)
newpopi = Mutation(child1)
newpopi+1 = Mutation(child2)
end for
pop = newpop
generation = generation + 1
end while
final rank = Ranking(pop)
Solutions = popi, ∀i ∈ final ranki == 1
return Solutions
Алгоритм 1 представляет схему генетического алгоритма многокритериальной
оптимизационной задачи (2)
Генетический алгоритм работает следующим образом. Инициализируется
популяция и все хромосомы сравниваются в соответствии с выбранной критериальной функцией. Далее многократно выполняется процедура репродукции
популяции хромосом. Родители выбираются случайным образом в соответствии со значениями оценки (вероятность того, что данная хромосома станет
родителем, пропорциональна полученной оценке). Репродукция происходит
индивидуально для одного родителя путем мутации хромосомы либо для двух
родителей путем скрещивания генов. Получившиеся потомки оцениваются в
соответствии с заданной функцией и помещаются в популяцию. В результате
использования описанных операций на каждой стадии эволюции получаются
популяции со все более соответствующая минимизации энергопотребления.
Существенным отличием от известных алгоритмов является оператор GenerateInitialPopulation. Этот процесс генерирует массив pop, размерности N×P, которая содержит N (размер популяции) членов, каждая из которых
является вектором длины P. Обычно популяция создается с помощью равно-
17
мерно распределенных случайных величин, при условии, что каждая xj ∈ [x'j, x''j
]. Однако выбор начальной популяции может существенно ускорить сходимость процесса. Из равномерно распределенных случайных величин, мы для
решения нашей задачи выбираем ЛПτ-последовательности Соболя4. Как показывают исследования, основное свойство ЛПτ-последовательности заключается в том, что они хорошо покрывают маломерные проекции многомерного куба. Например, проекции на все трёхмерные грани стомерного куба первых 128
членов ЛПτ-последовательности хорошо покрывают каждую трёхмерную
грань, но плохо покрывают сам куб в силу его большой размерности. С практической точки зрения, это означает, что ЛПτ-последовательности хорошо срабатывают для отыскания экстремумов функций, существенно зависящих от небольшого числа своих аргументов, т.е. , что функция
P(l1, l2,… ln) = P*(li1, li2,… lik) + g(l1, l2,… ln),
(1)
где k<n, и P*>>g. Также, в силу своей равномерной распределённости, они
обеспечивают лучшую сходимость, чем псевдослучайные последовательности.
Показывается, что, интересующие нас функции обладают свойством (1). Для
такой функции решение задачи поиска экстремума близко к гиперплоскости.
Это позволит ГА быстрее сходится и даже при отсутствии Парето-оптимальных решений, дать приемлемые для инженера-проектировщика решения. Приводятся алгоритмы быстрой генерации ЛПτ-последовательностей и их сравнение с другими равномерно распределенными последовательностями случайных
величин.
Соболь И.М, Статников, Р.Б. Выбор оптимальных параметров в задачах со многими критериями. – , с.160, Москва, Дрофа, 2006.
4
18
В параграфе 3.3 рассматривается расширение алгоритма 1 в виде клеточного многокритериального генетического алгоритма, расширения алгоритма
NSGAII, эффективно используемого для параллельной реализации.
Алгоритм 2: Параллельный ГА
1. proc Evolve(mocell)
2. Pareto front = Create PFront()
3. while !StopCondition() do
4.
for individual ← 1 until mocell.popSize do
5.
neighbors ← GetNeighbors(mocell,position(individual));
6.
parents ← Select(neighbors);
7.
offspring ← Recombination(mocell.Pc,parents);
8.
offspring ← Mutation(mocell.Pm,offspring);
9.
Evaluate(offspring);
10.
Insert(position(individual),offspring,mocell,aux
population);
11.
InsertInParetoFront (individual, Pareto front);
12.
end for
13.
mocell.population ← aux population;
14.
mocell.population ← Feedback (mocell,Pareto Front);
15. end while
16. end proc Evolve;
В параграфе 3.4 рассматриваются корректность и эффективность предложенных алгоритмов.
В четвертой главе описывается программная система реализации методов и алгоритмов, разработанных в предыдущих главах, и приводятся экспериментальные результаты.
В параграфе 4.1 перечисляются программные компоненты, реализованные при работе над диссертацией: LPtau – модуль ЛП-поиска, GAO – генетическая оптимизация, LME – Layout modification engine, модуль реализующий
комбинированный алгоритм, а также реализующий компактизацию после мидификации топологии, LGE – Layout Generation Engine, модуль реализующий
19
генерацию топологии по оптимизированному списку цепей, DB – хранилище
данных, DRC&LVS – модуль финальной проверки на соответствие технологическим нормам проектирования, SPICE – модуль стыковки моделирования на
уровне списка цепей.
В параграфе 4.2 описывается архитектура реализованных под руководством автора программной системы, обоснованию выбранной архитектуры и
механизмам реализации вычислений.
Параграф 4.3 посвящен реализации ЛП-поиска и генетического алгоритмов.
В параграфе 4.4 описана интеграция модулей в единую программную систему.
Параграф 4.5 посвящен оценке экспериментальных результатов от реализации предложенных методов. Экспериментальные результаты проводились на
промышленной библиотеке TSMC 0.13мкм с 400 ячейками, по технологии
обычный КМОП. Наибольший эффект получался при оптимизации комбинационных схем.
В таблице 1 приведены некоторые результаты для нескольких ячеек.
Ячейка
Кол-во
транзист.
Площадь
max(σ/μ)
Энергопотребл.
1
6
2
160
26.17
33.51
53.82
72.61
95.98
768.61
1053.99
2132.12
2912.14
3134.45
0.07
0.04
0.02
0.01
0.01
0.05
0.03
0.01
0.01
0.01
0.0914
0.0851
0.0746
0.0701
0.0672
6.1245
5.1341
3.2515
3.0101
2.8642
Время
раб.
с
3.76
56.34
Таблица. 1. Пример оптимизации для двух ячеек.
Результаты общей оптимизации показывают, что
 для 20% ячеек, энергопотребление может снижаться до 20%
 для 25-30 % ячеек – до 10-12%
20
 и менее 10% для остальных.
Данные результаты позволяют конкурировать на рынке посттопологической
оптимизации с такими инструментами как BlazeDFM МО.
В Заключении сформулированы основные результаты работы:
1. Разработан метод оптимизации энергопотребления для ячеек библиотек
стандартных элементов для субмикронных технологий. Метод является
расширяемым, апробированным на практике, его применение дает ощутимые результаты;
2. Разработан новый метод гибридной глобальной многокритериальной оптимизации ячеек для субмикронных технологий, относящийся к классу методов случайного поиска и генетических алгоритмов. Доказана корректность и
сходимость метода. Метод апробирован в коммерческом программном
обеспечении;
3. Сделан критический обзор исследований в области пост-топологической оптимизации и применения динамического программирования. Предложена
более строгая и общая формализация понятия “задача пост-топологической”
оптимизации, учитывающая характер задач, встречающихся на практике;
4. Разработаны при участии автора в качестве руководителя или исполнителя
следующие подсистемы программного комплекса Cell Compiler: LPtau, GA,
LGE, DRC&LVS.
По теме диссертации опубликованы следующие работы:
В изданиях, рекомендованныx Перечнем ВАК Министерства образования
и науки Российской Федерации:
1. Мелик-Адамян А.Ф. Многокритериальная оптимизация КМОП-схем в
субмикронных технологиях. Известия ТТИ ЮФУ, №3, 2009. – с. ?? – ??.
21
2. Рыжов А.П., Мелик-Адамян А.Ф. Применение генетических алгоритмов
в некоторых задачах многокритериальной оптимизации проектирования
СБИС. // Нечеткие системы и мягкие вычисления. № 3, 2008, – с. ?? – ??
3. Meлик-Адамян А.Ф. Применение генетических алгоритмов в задачах
оптимизации физического проектирования микроэлектроники. // Научно-техническая информация. Серия 2, № 8 Информационные процессы и
системы / Всероссийский институт научной и технической информации,
– с. 143-153, – 2009.
Другие публикации
4. Мелик-Адамян А.Ф., Кондратьева А.К., Халимов А.К. Оптимизация
статического тока утечки для библиотечных КМОП-схемах в субмикронных технологиях. // Научные исследования № 1 (1), Труды российских ученых, 2008.
5. Мелик-Адамян А.Ф., Кондратьева А.К., Халимов А.К. Оптимизация
стандартных ячеек ЛПτ-последовательностями. // В трудах 51-ой Научной конференции МФТИ, 2008.
6. Мелик-Адамян А.Ф. Автоматизированная система генерации и оптимизации стандартных ячеек Cell Compiler. // ИТМ и ВТ РАН, 2008
7. А. Aslyan, A. Melik-Adamyan, On Method of Yield Optimization via Compaction // Proceedings of Journal of Physical Design Automation (to be published), Mentor Graphics, 2009.
8. Мелик-Адамян А.Ф. Методы многокритериальной оптимизации в задачах физического проектирования микроэлектроники. // Радиоэлектронная промышленность России, 2009.
22
Лиц. на издат. деят. Б848421 от 03.11.2000 г. Подписано в печать 14.11.2008 г.
Формат 60x90 1/16 усл. печ. л. Компьютерный набор.
Гарнитура Times New Roman.
Отпечатано на ризографе. Усл. печ. л. – 1,3. Уч.-изд. л. – 1,1.
Тираж 100 экз. Заказ № 259
ИПК БГПУ 450000, г. Москва, ул. Октябрьской, 3а
Скачать